var searchData= [ ['t_0',['T',['../../../core/html/structosmo__tdef__state__timeout.html#a8ba74f7cd70582e4f9945203c0ff49f8',1,'osmo_tdef_state_timeout::T()'],['../../../core/html/structosmo__tdef.html#aa8fdd929b165511b9c68b5a8a73ea420',1,'osmo_tdef::T()'],['../../../core/html/structosmo__fsm__inst.html#a8c1856de9383574623e1107067c3f156',1,'osmo_fsm_inst::T()']]], ['t391_1',['t391',['../../../gb/html/structosmo__fr__link.html#ad5a549333be845a9ee15de67ad650400',1,'osmo_fr_link']]], ['t392_2',['t392',['../../../gb/html/structosmo__fr__link.html#a860ceeeb12717df9c4e80890136cefb0',1,'osmo_fr_link']]], ['t4_5fmake_5fup_3',['t4_make_up',['../../../core/html/group__bitcomp.html#ga49df6e5268e7a8b4327e5d61ddc0bb04',1,]]], ['t4_5fmake_5fup_5flength_4',['t4_make_up_length',['../../../core/html/group__bitcomp.html#ga8149edc38a2202a6fbaf3a39f388e50f',1,]]], ['t4_5frle_5',['t4_rle',['../../../core/html/group__bitcomp.html#ga756816bc8897122169638ebaa12532c4',1,]]], ['t4_5fterm_6',['t4_term',['../../../core/html/group__bitcomp.html#ga23f45a8097583b94fd090f42cb402bd3',1,]]], ['t4_5fterm_5flength_7',['t4_term_length',['../../../core/html/group__bitcomp.html#ga5668d0369e3659129c72d2293da52d7b',1,]]], ['t_5farg_8',['T_arg',['../../../vty/html/group__Tdef__VTY.html#ga7115e76a2ed09d117bbd97e0e465289e',1,]]], ['t_5fdefs_9',['T_defs',['../../../gb/html/structosmo__fr__network.html#ac4b2869406b21d528d93e42f3f6ef3d4',1,'osmo_fr_network::T_defs()'],['../../../core/html/structosmo__time__cc__cfg.html#af64ab35d0ec6ed887d568d5ec226c6db',1,'osmo_time_cc_cfg::T_defs()']]], ['t_5fforget_5fsum_10',['T_forget_sum',['../../../core/html/structosmo__time__cc__cfg.html#a18a69cabb36510378162fbf66b818cd5',1,'osmo_time_cc_cfg']]], ['t_5fgran_11',['T_gran',['../../../core/html/structosmo__time__cc__cfg.html#addce4e6f974d5a0d734388dd9b3eb52b',1,'osmo_time_cc_cfg']]], ['t_5fround_5fthreshold_12',['T_round_threshold',['../../../core/html/structosmo__time__cc__cfg.html#aea10d0878ae511a70182038011fa02a4',1,'osmo_time_cc_cfg']]], ['tables_13',['Tables',['../../../coding/html/group__tables.html',1,'']]], ['tac_14',['tac',['../../../gb/html/structosmo__eutran__tai.html#a5b299d12115c524e4e4693d0c45228eb',1,'osmo_eutran_tai']]], ['tag_15',['tag',['../../../gb/html/structbssgp2__flow__ctrl.html#a68ae4931aaa889e57dce53ecf7cc32cf',1,'bssgp2_flow_ctrl']]], ['tai_16',['tai',['../../../gb/html/structbssgp__rim__routing__info.html#a8ab198e7fd087884ce692636daadc703',1,'bssgp_rim_routing_info']]], ['tail_17',['tail',['../../../vty/html/structbuffer.html#afd9ca0ce6fc38366356ea9d0003c77a3',1,'buffer::tail()'],['../../../core/html/structmsgb.html#a8caeb3b88050ba337edde4fd90741d85',1,'msgb::tail()']]], ['tall_5fctx_18',['tall_ctx',['../../../vty/html/structsched__vty__opts.html#aba5a544be7aa21b65501f23c7812c601',1,'sched_vty_opts::tall_ctx()'],['../../../vty/html/structvty__app__info.html#aabbb04e500d552c0b8c9db6c76acac59',1,'vty_app_info::tall_ctx()']]], ['tall_5flog_5fctx_19',['tall_log_ctx',['../../../core/html/group__logging.html#ga637e5fb0ff764b323acb7caef1793dea',1,'tall_log_ctx()(Global Namespace)'],['../../../core/html/group__logging__internal.html#ga637e5fb0ff764b323acb7caef1793dea',1,'tall_log_ctx()(Global Namespace)']]], ['tall_5fmsgb_5fctx_20',['tall_msgb_ctx',['../../../core/html/group__msgb.html#ga72b7917a2ec7fb2120f31cab8d749218',1,]]], ['tall_5frate_5fctr_5fctx_21',['tall_rate_ctr_ctx',['../../../core/html/group__rate__ctr.html#ga3b69e9b208cadac269976b2c025c06b4',1,]]], ['tall_5fsigh_5fctx_22',['tall_sigh_ctx',['../../../core/html/group__signal.html#ga2dd2b6ac5a7a35b5c834ccf82361e39b',1,]]], ['tall_5fstat_5fitem_5fctx_23',['tall_stat_item_ctx',['../../../core/html/group__osmo__stat__item.html#ga7785ff605fed9985c603bf1a691c97a0',1,]]], ['tall_5fvty_5fcmd_5fctx_24',['tall_vty_cmd_ctx',['../../../vty/html/group__command.html#ga3983210999465ebd223c9bf76613baad',1,'tall_vty_cmd_ctx()(Global Namespace)'],['../../../vty/html/group__command.html#ga3983210999465ebd223c9bf76613baad',1,'tall_vty_cmd_ctx()(Global Namespace)']]], ['tall_5fvty_5fctx_25',['tall_vty_ctx',['../../../vty/html/group__vty.html#gafa161eda8b0404157b41cac53a429f6d',1,]]], ['tall_5fvty_5fvec_5fctx_26',['tall_vty_vec_ctx',['../../../vty/html/group__vector.html#ga7e85b6cb22ea5f3e15aa3674a15aaf14',1,]]], ['talloc_2eh_27',['talloc.h',['../../../core/html/talloc_8h.html',1,'']]], ['talloc_5fctx_5fvty_2ec_28',['talloc_ctx_vty.c',['../../../vty/html/talloc__ctx__vty_8c.html',1,'']]], ['talloc_5fobject_29',['talloc_object',['../../../core/html/structosmo__use__count.html#a931dcee6ec7160ea3dda64f6190d0a7e',1,'osmo_use_count']]], ['tch_5famr_5fdisassemble_30',['tch_amr_disassemble',['../../../coding/html/group__coding.html#gab0962be93bb2bd962a0bb56dbfa7145a',1,]]], ['tch_5famr_5fmerge_31',['tch_amr_merge',['../../../coding/html/group__coding.html#gac878ee1bf9fc9c53ae582e7886ddd7d9',1,]]], ['tch_5famr_5freassemble_32',['tch_amr_reassemble',['../../../coding/html/group__coding.html#ga0e7a7286646ce463d937f28ed692dc70',1,]]], ['tch_5famr_5fsid_5fupdate_5fappend_33',['tch_amr_sid_update_append',['../../../coding/html/group__coding.html#gaec404c34d9b3039b5fb5081e7ee45aa6',1,]]], ['tch_5famr_5funmerge_34',['tch_amr_unmerge',['../../../coding/html/group__coding.html#ga0439674045925c136d7e0c3f592f1472',1,]]], ['tch_5fefr_5fd_5fto_5fw_35',['tch_efr_d_to_w',['../../../coding/html/group__coding.html#ga0aaae17afd41a7d182ad9a63bd0586f5',1,]]], ['tch_5fefr_5fdisassemble_36',['tch_efr_disassemble',['../../../coding/html/group__coding.html#ga985c39b66bcf8791a98f7b703f29bf90',1,]]], ['tch_5fefr_5fprotected_37',['tch_efr_protected',['../../../coding/html/group__coding.html#gad77737c8d49d8a6e52d819bad7926b77',1,]]], ['tch_5fefr_5freassemble_38',['tch_efr_reassemble',['../../../coding/html/group__coding.html#ga3874a27aa343e35de1475285faa650b8',1,]]], ['tch_5fefr_5freorder_39',['tch_efr_reorder',['../../../coding/html/group__coding.html#ga2bf521ad8d5f0a60cabcd388e271d3d3',1,]]], ['tch_5fefr_5funreorder_40',['tch_efr_unreorder',['../../../coding/html/group__coding.html#ga3c803a607335e382b3b6249b527b3087',1,]]], ['tch_5fefr_5fw_5fto_5fd_41',['tch_efr_w_to_d',['../../../coding/html/group__coding.html#ga2cbe3f469542f6309cc3b6c5348c9c59',1,]]], ['tch_5ffr_5fb_5fto_5fd_42',['tch_fr_b_to_d',['../../../coding/html/group__coding.html#ga0480c19033232a949f391b9c1d169e7e',1,]]], ['tch_5ffr_5fd_5fto_5fb_43',['tch_fr_d_to_b',['../../../coding/html/group__coding.html#ga7cfeaf61947817826f6d42c3cf6936f4',1,]]], ['tch_5ffr_5fdisassemble_44',['tch_fr_disassemble',['../../../coding/html/group__coding.html#ga070e55708904919ed170588bb4e461b6',1,]]], ['tch_5ffr_5freassemble_45',['tch_fr_reassemble',['../../../coding/html/group__coding.html#gae5c7bd071f9ac031d02f707932bb1f56',1,]]], ['tch_5ffr_5freorder_46',['tch_fr_reorder',['../../../coding/html/group__coding.html#ga399229613c3085f2cee15494a24f8caf',1,]]], ['tch_5ffr_5funreorder_47',['tch_fr_unreorder',['../../../coding/html/group__coding.html#ga321e9c9d65756b0ced4cd59c4563fdd0',1,]]], ['tch_5fhr_5fb_5fto_5fd_48',['tch_hr_b_to_d',['../../../coding/html/group__coding.html#ga61cc9ad08347f5787a5d195d8a5ee2b5',1,]]], ['tch_5fhr_5fd_5fto_5fb_49',['tch_hr_d_to_b',['../../../coding/html/group__coding.html#gaa2fd33a4a9b70bb20bc671b6e03522be',1,]]], ['tch_5fhr_5fdisassemble_50',['tch_hr_disassemble',['../../../coding/html/group__coding.html#ga48d8593cf5cf9ab4c0089f005f7f1f54',1,]]], ['tch_5fhr_5freassemble_51',['tch_hr_reassemble',['../../../coding/html/group__coding.html#gae053d5869f5f0bfb43b53086118647fe',1,]]], ['tch_5fhr_5freorder_52',['tch_hr_reorder',['../../../coding/html/group__coding.html#gacf24d82ab397ebdc9e97652eb330938b',1,]]], ['tch_5fhr_5funreorder_53',['tch_hr_unreorder',['../../../coding/html/group__coding.html#ga03e41400babffd213f851c468ed86c48',1,]]], ['tdef_2ec_54',['tdef.c',['../../../core/html/tdef_8c.html',1,'']]], ['tdef_2eh_55',['tdef.h',['../../../core/html/tdef_8h.html',1,'']]], ['tdef_5fvty_2ec_56',['tdef_vty.c',['../../../vty/html/tdef__vty_8c.html',1,'']]], ['tdef_5fvty_2eh_57',['tdef_vty.h',['../../../vty/html/tdef__vty_8h.html',1,'']]], ['tdefs_58',['tdefs',['../../../core/html/structosmo__tdef__group.html#a5ba4012c7110a5464498ac7199558095',1,'osmo_tdef_group::tdefs()'],['../../../vty/html/group__Tdef__VTY.html#ga9dcb7b1e44e6a89b1daa92b4c5711519',1,'tdefs()(Global Namespace)']]], ['telnet_20interface_59',['Telnet Interface',['../../../vty/html/group__telnet__interface.html',1,'']]], ['telnet_5fconnection_60',['telnet_connection',['../../../vty/html/structtelnet__connection.html',1,'']]], ['telnet_5fexit_61',['telnet_exit',['../../../vty/html/group__telnet__interface.html#gac0d9ac2f68450a5ba531738a47df5f21',1,]]], ['telnet_5finit_62',['telnet_init',['../../../vty/html/group__telnet__interface.html#ga109c72d8938db94505e0a7520df3413a',1,]]], ['telnet_5finit_5fdefault_63',['telnet_init_default',['../../../vty/html/group__telnet__interface.html#gabd573e02358278e2e9877621b6f64256',1,]]], ['telnet_5finit_5fdynif_64',['telnet_init_dynif',['../../../vty/html/group__telnet__interface.html#gae5f799aa2f51ecb1dbeac82b2f3e532b',1,]]], ['telnet_5finterface_2ec_65',['telnet_interface.c',['../../../vty/html/telnet__interface_8c.html',1,'']]], ['telnet_5finterface_2eh_66',['telnet_interface.h',['../../../vty/html/telnet__interface_8h.html',1,'']]], ['term_67',['term',['../../../core/html/structosmo__conv__code.html#aa024e6740f580b70e83f37b8cc7ac642',1,'osmo_conv_code']]], ['terminal_68',['terminal',['../../../vty/html/group__command.html#ga86a1dd2b71797949f2b74f2b6ad32dd1',1,]]], ['terminating_69',['terminating',['../../../core/html/structosmo__fsm__inst.html#a9c8ce232a1162f38a2e9c5cb7583520d',1,'osmo_fsm_inst']]], ['text_70',['text',['../../../core/html/structosmo__config__entry.html#abbe348a0a34973110d7bc1450438c0aa',1,'osmo_config_entry']]], ['tgt_5ffile_71',['tgt_file',['../../../core/html/structlog__target.html#a2dcfb425c09ab9735cfee37585900487',1,'log_target']]], ['tgt_5fgsmtap_72',['tgt_gsmtap',['../../../core/html/structlog__target.html#a2b509af68d1d55545697a46d0f880412',1,'log_target']]], ['tgt_5frb_73',['tgt_rb',['../../../core/html/structlog__target.html#a94df7fe6e54cb86e40ca2ce34c0d29ae',1,'log_target']]], ['tgt_5fsyslog_74',['tgt_syslog',['../../../core/html/structlog__target.html#ab68cae9f8a626831cf360c3ba918d5a3',1,'log_target']]], ['tgt_5fvty_75',['tgt_vty',['../../../core/html/structlog__target.html#a8b176b6ff0ebdc59e4d37903489cd120',1,'log_target']]], ['thread_2ec_76',['thread.c',['../../../core/html/thread_8c.html',1,'']]], ['thread_2eh_77',['thread.h',['../../../core/html/thread_8h.html',1,'']]], ['tid_5ftype_78',['tid_type',['../../../vty/html/structcpu__affinity__it.html#a7a5d2669276077637ca6cee23fb344ee',1,'cpu_affinity_it']]], ['time_5fcc_2ec_79',['time_cc.c',['../../../core/html/time__cc_8c.html',1,'']]], ['time_5fcc_2eh_80',['time_cc.h',['../../../core/html/time__cc_8h.html',1,'']]], ['time_5flast_5fpdu_81',['time_last_pdu',['../../../gb/html/structbssgp__flow__control.html#a40b8707c552487f74d2b13458c205bdf',1,'bssgp_flow_control']]], ['timeout_82',['timeout',['../../../gb/html/structgprs__ns__inst.html#a3e3e791e740045add11bd34820bb948f',1,'gprs_ns_inst::timeout()'],['../../../core/html/structosmo__timer__list.html#ab260b34f66b5a12a0059eda6c729379b',1,'osmo_timer_list::timeout()'],['../../../gb/html/structgprs__ns2__inst.html#ab9278d52f3f3e038b1e4c131d3147aa6',1,'gprs_ns2_inst::timeout()']]], ['timer_83',['timer',['../../../core/html/structosmo__fsm__inst.html#af5030c02d805d27b71084d4ccc18a0fd',1,'osmo_fsm_inst::timer()'],['../../../core/html/structosmo__soft__uart.html#a9dce57416592b34b41ca34507ed5291f',1,'osmo_soft_uart::timer()'],['../../../core/html/structosmo__time__cc.html#a96ef07961091e1f8926b8d98ff9684b9',1,'osmo_time_cc::timer()'],['../../../gb/html/structbssgp__flow__control.html#a5c6a0e940d9b61574903408865daa2b9',1,'bssgp_flow_control::timer()'],['../../../gb/html/structgprs__ns2__vc__priv.html#aadbccab850eeb09a228a6afa1cdadbd9',1,'gprs_ns2_vc_priv::timer()'],['../../../gb/html/structgprs__nsvc.html#a434605151f37a7e539bc89cde0b0ebce',1,'gprs_nsvc::timer()'],['../../../gb/html/structpriv__bind.html#a6bbbce84af103ab548c14dec0faaeae8',1,'priv_bind::timer()']]], ['timer_2ec_84',['timer.c',['../../../core/html/timer_8c.html',1,'']]], ['timer_2eh_85',['timer.h',['../../../core/html/timer_8h.html',1,'']]], ['timer_5fargs_86',['timer_args',['../../../vty/html/group__Tdef__VTY.html#ga9635926c517393c2fdb65d32e6048be3',1,]]], ['timer_5fcb_87',['timer_cb',['../../../core/html/structosmo__fsm.html#a53fd6ecbddbbed54abbcb57c62584389',1,'osmo_fsm']]], ['timer_5fclockgettime_2ec_88',['timer_clockgettime.c',['../../../core/html/timer__clockgettime_8c.html',1,'']]], ['timer_5fcommand_5fstring_89',['timer_command_string',['../../../vty/html/group__Tdef__VTY.html#ga08c014e35af57827e747adc3e63f5a0d',1,]]], ['timer_5fcompat_2eh_90',['timer_compat.h',['../../../core/html/timer__compat_8h.html',1,'']]], ['timer_5fdoc_5fstring_91',['timer_doc_string',['../../../vty/html/group__Tdef__VTY.html#ga79202530d21b04e7613ebf1cbfd93a44',1,]]], ['timer_5fgettimeofday_2ec_92',['timer_gettimeofday.c',['../../../core/html/timer__gettimeofday_8c.html',1,'']]], ['timer_5fmode_93',['timer_mode',['../../../gb/html/structgprs__nsvc.html#a6621bc5c8c3007c3b59c1716b12e1378',1,'gprs_nsvc']]], ['timer_5fmode_5fstrs_94',['timer_mode_strs',['../../../gb/html/group__libgb.html#ga30ae8df0f132489b2af625ea7087db09',1,]]], ['timer_5fmode_5ftout_95',['timer_mode_tout',['../../../gb/html/group__libgb.html#ga019aab91323644c381d140ebe3cfeca7',1,]]], ['timer_5froot_96',['timer_root',['../../../core/html/group__timer.html#ga8733ce9a99e5a3780ff71baae89a9ccd',1,]]], ['timer_5fstarted_97',['timer_started',['../../../gb/html/structgprs__nsvc.html#a653b242694c11051fbb1cac239da744a',1,'gprs_nsvc::timer_started()'],['../../../gb/html/structgprs__ns2__vc__priv.html#a239dbbb3501c0c5d5434b3e54b5efe4a',1,'gprs_ns2_vc_priv::timer_started()']]], ['timer_5fticks_98',['timer_ticks',['../../../core/html/group__rate__ctr.html#gabdf5657b1022ebe1848ae003a19ae943',1,]]], ['timeslot_99',['timeslot',['../../../core/html/structgsmtap__hdr.html#a51812157bbd2a012f8e4a09f1ff82c71',1,'gsmtap_hdr']]], ['tlli_100',['tlli',['../../../gb/html/structlibgb__msgb__cb.html#a3321326a9b14fd20ec49741158ea6843',1,'libgb_msgb_cb::tlli()'],['../../../gb/html/structosmo__bssgp__prim.html#adde0399933e5f18d26796b4e839414c8',1,'osmo_bssgp_prim::tlli()'],['../../../gb/html/structbssgp__ud__hdr.html#afe8aad89d915eb8527bc382256ccf2e8',1,'bssgp_ud_hdr::tlli()'],['../../../gb/html/structbssgp2__flow__ctrl.html#af646c0a94610feec0900eefb0e1e5e86',1,'bssgp2_flow_ctrl::tlli()'],['../../../gb/html/structbssgp__dl__ud__par.html#a38fd6681781800ebb8c8f27dd2ced199',1,'bssgp_dl_ud_par::tlli()']]], ['tnnn_20timer_20configuration_101',['Tnnn timer configuration',['../../../core/html/group__Tdef.html',1,'']]], ['tnnn_20timer_20vty_20configuration_102',['Tnnn timer VTY configuration',['../../../vty/html/group__Tdef__VTY.html',1,'']]], ['todo_20list_103',['Todo List',['../todo.html',1,'']]], ['total_5fsum_104',['total_sum',['../../../core/html/structosmo__time__cc.html#a09624b09c014251d80d28f8d0938c086',1,'osmo_time_cc']]], ['tp_105',['tp',['../../../gb/html/structosmo__bssgp__prim.html#a8a6f66b87f6bb8ce73c7f8fbb98f7fee',1,'osmo_bssgp_prim']]], ['trans_5fid_106',['trans_id',['../../../gb/html/structns2__sns__procedure.html#aac2ebb2b67cee91a0e94947393a122f7',1,'ns2_sns_procedure::trans_id()'],['../../../gb/html/structns2__sns__state.html#a1280e23ea3d587080c1f2c465a5fb3b5',1,'ns2_sns_state::trans_id()']]], ['transceive_107',['transceive',['../structosim__reader__ops.html#a16512268da2db6bca5b716a8e3b951f1',1,'osim_reader_ops']]], ['transceive_5fapdu_5ft0_108',['transceive_apdu_t0',['../reader_8c.html#af83b94190859dcc4060ae7858d1cf580',1,'reader.c']]], ['transfer_109',['transfer',['../../../gb/html/structosmo__gprs__ns2__prim.html#a2972c2bfa9d178dc3726357f67d6366b',1,'osmo_gprs_ns2_prim']]], ['transfer_5fcapability_110',['transfer_capability',['../../../gb/html/structgprs__ns2__vc__bind.html#a7fd392a7bd0a2a1036e9a16445b0fc15',1,'gprs_ns2_vc_bind']]], ['trellis_111',['trellis',['../../../core/html/structvdecoder.html#a64c8334810832c81c72ae0f07b267cda',1,'vdecoder']]], ['trx_112',['trx',['../../../core/html/structmsgb.html#aa5d3dd9938edbc55c5dbc0472f364df7',1,'msgb']]], ['ts_113',['ts',['../../../core/html/structgsmtap__osmocore__log__hdr.html#a98f006abc829fb0ada57dd3aa2fd6d7e',1,'gsmtap_osmocore_log_hdr']]], ['ts102221_5ffcp_5ftag_114',['ts102221_fcp_tag',['../sim_8h.html#a415329f464fea85fac3f5879f2073739',1,'sim.h']]], ['ts102221_5ffcp_5ftlv_5fdef_115',['ts102221_fcp_tlv_def',['../card__fs__uicc_8c.html#a269c35f60166a42f572a9f2eabcf4e69',1,'ts102221_fcp_tlv_def(): card_fs_uicc.c'],['../sim_8h.html#a269c35f60166a42f572a9f2eabcf4e69',1,'ts102221_fcp_tlv_def(): card_fs_uicc.c']]], ['ts102221_5ffcp_5fvals_116',['ts102221_fcp_vals',['../card__fs__uicc_8c.html#a8e9e5811060e746ab000751213f10c76',1,'ts102221_fcp_vals(): card_fs_uicc.c'],['../sim_8h.html#a8e9e5811060e746ab000751213f10c76',1,'ts102221_fcp_vals(): card_fs_uicc.c']]], ['ts102221_5fuicc_5fsw_117',['ts102221_uicc_sw',['../card__fs__uicc_8c.html#a563fc75f8480516b5c4eecf7b9218c4f',1,'card_fs_uicc.c']]], ['ts11_5f11_5fsw_118',['ts11_11_sw',['../card__fs__sim_8c.html#a6344624ae193d69bafe9fc194bc7876f',1,'card_fs_sim.c']]], ['ts26101_5freorder_5ftable_119',['ts26101_reorder_table',['../../../codec/html/structts26101__reorder__table.html',1,'']]], ['ts31_5f102_5fsw_120',['ts31_102_sw',['../card__fs__usim_8c.html#a9350dece9c5bf0001e21e0d4a4fcda62',1,'card_fs_usim.c']]], ['ts31_5f103_5fsw_121',['ts31_103_sw',['../card__fs__isim_8c.html#a925729a0062dcae949ab7cc8b13b6768',1,'card_fs_isim.c']]], ['ts31_5f104_5fsw_122',['ts31_104_sw',['../card__fs__hpsim_8c.html#ac838c2b462e0702fc5357b8caba6dd99',1,'card_fs_hpsim.c']]], ['ts_5falive_5fchange_123',['ts_alive_change',['../../../gb/html/structgprs__ns2__nse.html#aee74f9f999ce70e98159b32f1b5db4de',1,'gprs_ns2_nse::ts_alive_change()'],['../../../gb/html/structgprs__ns2__vc.html#a81b20f5e94eefe5f380dca04adaaebe9',1,'gprs_ns2_vc::ts_alive_change()']]], ['tsim_5fcard_5fsws_124',['tsim_card_sws',['../card__fs__tetra_8c.html#a6a3af1492ebed3ad644d715e30c15aa1',1,'card_fs_tetra.c']]], ['tsim_5fsw_125',['tsim_sw',['../card__fs__tetra_8c.html#ada99c33c9a7004fc4278622ca6735987',1,'card_fs_tetra.c']]], ['tun_126',['Tun',['../../../core/html/group__tun.html',1,'']]], ['tun_2ec_127',['tun.c',['../../../core/html/tun_8c.html',1,'']]], ['tun_2eh_128',['tun.h',['../../../core/html/tun_8h.html',1,'']]], ['tundev_5fdecaps_129',['tundev_decaps',['../../../core/html/group__tun.html#gab7bb4ad4360d0d0bdb5ccb4659276a1d',1,]]], ['tundev_5fdev_5fname_5fchg_5fcb_130',['tundev_dev_name_chg_cb',['../../../core/html/group__tun.html#ga1b714e0b4e6a3d45e965fc97fc6fd09d',1,]]], ['tundev_5fifupdown_5find_5fcb_131',['tundev_ifupdown_ind_cb',['../../../core/html/group__tun.html#ga5d311ac51f718729e227b76e0f9dd474',1,]]], ['tundev_5fmtu_5fchg_5fcb_132',['tundev_mtu_chg_cb',['../../../core/html/group__tun.html#gae9508aad437383431d53b14e07286d3b',1,]]], ['tundev_5fopen_5ffd_133',['tundev_open_fd',['../../../core/html/group__tun.html#ga3a67de59600a5c77f5c6cbff1fc2e254',1,]]], ['tundev_5fread_5fcb_134',['tundev_read_cb',['../../../core/html/group__tun.html#gab4b9fc3bfbaa99ea7aaa4b6630656674',1,]]], ['tundev_5fwrite_5fcb_135',['tundev_write_cb',['../../../core/html/group__tun.html#ga7bb8d07849ef9d7bc1a6d413fecc41d1',1,]]], ['tx_136',['tx',['../../../core/html/structosmo__sercomm__inst.html#adcc7eab68ac50b2884d4f166cf91011c',1,'osmo_sercomm_inst::tx()'],['../../../core/html/structosmo__soft__uart.html#a562b4562099e3bac29038cd1856dbc7c',1,'osmo_soft_uart::tx()']]], ['tx_5fcb_137',['tx_cb',['../../../gb/html/structosmo__fr__link.html#a901164f3be914af77e3c238bc1a24704',1,'osmo_fr_link::tx_cb()'],['../../../core/html/structosmo__soft__uart__cfg.html#a70ff964fa736f14166e618e3572466a3',1,'osmo_soft_uart_cfg::tx_cb()']]], ['tx_5fqueue_138',['tx_queue',['../../../core/html/structosmo__io__fd.html#a5dba59d70073fa5d06a727733c7d6ac5',1,'osmo_io_fd']]], ['txqueue_5fmax_5flength_139',['txqueue_max_length',['../../../gb/html/structgprs__ns2__inst.html#a0da5d84624aa6160e1b4c8575be37a77',1,'gprs_ns2_inst']]], ['type_140',['type',['../../../ctrl/html/structctrl__cmd.html#a6945f0e7005ad890c94eff6f8e7538a0',1,'ctrl_cmd::type()'],['../structosim__card__sw.html#aff2459d444d1cac74611274881f0cd11',1,'osim_card_sw::type()'],['../structosim__file__desc.html#ad3a9307e682dbb01f8e83f79b9c5b545',1,'osim_file_desc::type()'],['../structosim__decoded__element.html#aa5db1cbc456ac582bf296897d0c1c892',1,'osim_decoded_element::type()'],['../../../core/html/structgsmtap__hdr.html#a844ce29629ea9925ccf6ae7029d80dd8',1,'gsmtap_hdr::type()'],['../../../core/html/structlog__target.html#aa7c4ac96bb8d768ebd5312f74d5fd26a',1,'log_target::type()'],['../../../core/html/structosmo__stats__reporter.html#a447e3a9c7485f6da35a42d11144d8830',1,'osmo_stats_reporter::type()'],['../../../vty/html/structvty.html#ae5d5334e9d7fd817337b37a1c74d8496',1,'vty::type()'],['../../../ctrl/html/structctrl__cmd__map.html#af98f7c2f6c7df06c1de7d709e417f707',1,'ctrl_cmd_map::type()']]], ['type1_141',['type1',['../../../coding/html/uniongprs__rlc__ul__hdr__egprs.html#a4dae8e5b21a3ebd77faf2c980fbada94',1,'gprs_rlc_ul_hdr_egprs::type1()'],['../../../coding/html/uniongprs__rlc__dl__hdr__egprs.html#ac31aa59cf2ac7b1841445616f899a97f',1,'gprs_rlc_dl_hdr_egprs::type1()']]], ['type2_142',['type2',['../../../coding/html/uniongprs__rlc__ul__hdr__egprs.html#a1902b78794e80d38377771da85489df5',1,'gprs_rlc_ul_hdr_egprs::type2()'],['../../../coding/html/uniongprs__rlc__dl__hdr__egprs.html#aff30509a85db71b7ccbeff1642a26421',1,'gprs_rlc_dl_hdr_egprs::type2()']]], ['type3_143',['type3',['../../../coding/html/uniongprs__rlc__ul__hdr__egprs.html#ac54ac3dcbec27085b203171cfc95d7e6',1,'gprs_rlc_ul_hdr_egprs::type3()'],['../../../coding/html/uniongprs__rlc__dl__hdr__egprs.html#aafec683e58a2c88a71d86c299827182b',1,'gprs_rlc_dl_hdr_egprs::type3()']]], ['type_5fadf_144',['TYPE_ADF',['../sim_8h.html#a38d77cdbd659621c32fe0724917b5fcda4e630b95beccfaeb563bfc1914d11140',1,'sim.h']]], ['type_5fdf_145',['TYPE_DF',['../sim_8h.html#a38d77cdbd659621c32fe0724917b5fcdab05ad0c3fc1bc5b3f4a3d7ad0b51c2e4',1,'sim.h']]], ['type_5fef_146',['TYPE_EF',['../sim_8h.html#a38d77cdbd659621c32fe0724917b5fcda6079bae7e02bd33f6ffbc80bd3dad288',1,'sim.h']]], ['type_5fef_5fint_147',['TYPE_EF_INT',['../sim_8h.html#a38d77cdbd659621c32fe0724917b5fcdae3eb90c9f84114862ce0a8f5fc1e356d',1,'sim.h']]], ['type_5fmf_148',['TYPE_MF',['../sim_8h.html#a38d77cdbd659621c32fe0724917b5fcda27fe72b3e083a3004a362104c108feee',1,'sim.h']]], ['type_5fnone_149',['TYPE_NONE',['../sim_8h.html#a38d77cdbd659621c32fe0724917b5fcda01a66f4d8d66e4614c1c900c5a1c37ff',1,'sim.h']]], ['type_5fpsi_150',['type_psi',['../../../gb/html/structbssgp__ran__inf__app__cont__nacc.html#a7d45980c74a81d18dbdc7cacc5223ee7',1,'bssgp_ran_inf_app_cont_nacc']]] ];