10:13:42.809229 mtc MGCP_Test.ttcn:3223 Starting external command `/home/osmocom-build/jenkins/workspace/osmith-ttcn3-mgw-test/_cache/podman/osmo-ttcn3-hacks/ttcn3-tcpdump-start.sh MGCP_Test.TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly'. 10:13:43.818385 mtc MGCP_Test.ttcn:3223 External command `/home/osmocom-build/jenkins/workspace/osmith-ttcn3-mgw-test/_cache/podman/osmo-ttcn3-hacks/ttcn3-tcpdump-start.sh MGCP_Test.TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly' was executed successfully (exit status: 0). 10:13:43.818546 mtc MGCP_Test.ttcn:3223 Test case TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly started. 10:13:43.818749 mtc MGCP_Test.ttcn:3223 Initializing variables, timers and ports of component type MGCP_Test.dummy_CT inside testcase TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly. 10:13:43.819375 mtc MGCP_Test.ttcn:3223 Port STATSD_PROC was started. 10:13:43.819412 mtc MGCP_Test.ttcn:3223 Port IPA_CTRL was started. 10:13:43.819439 mtc MGCP_Test.ttcn:3223 Port MGCP was started. 10:13:43.819453 mtc MGCP_Test.ttcn:3223 Port RTPEM[0] was started. 10:13:43.819467 mtc MGCP_Test.ttcn:3223 Port RTPEM[1] was started. 10:13:43.819480 mtc MGCP_Test.ttcn:3223 Port RTPEM[2] was started. 10:13:43.819497 mtc MGCP_Test.ttcn:3223 Port OsmuxEM was started. 10:13:43.819512 mtc MGCP_Test.ttcn:3223 Port MGWVTY was started. 10:13:43.819526 mtc MGCP_Test.ttcn:3223 Component type MGCP_Test.dummy_CT was initialized. 10:13:43.820240 mtc MGCP_Test.ttcn:142 Mapping port mtc:MGCP to system:MGCP_CODEC_PT. 10:13:43.820608 mtc MGCP_Test.ttcn:142 Port MGCP was mapped to system:MGCP_CODEC_PT. 10:13:43.820986 mtc MGCP_Test.ttcn:142 Map operation of mtc:MGCP to system:MGCP_CODEC_PT finished. 10:13:43.821295 mtc MGCP_Test.ttcn:146 entering f__IPL4__PROVIDER__connect: 127.0.0.1:2727 -> 127.0.0.1:2427 / UDP 10:13:43.821515 mtc MGCP_Test.ttcn:146 entering f__IPL4__PROVIDER__listen: 127.0.0.1:2727 / UDP 10:13:43.822929 mtc MGCP_Test.ttcn:117 Creating new PTC with component type RTP_Emulation.RTP_Emulation_CT, component name: RTPEM0. 10:13:43.824955 3 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:13:43.825014 3 - TTCN-3 Parallel Test Component started on b5a93ff254e7. Component reference: RTPEM0(3), component type: RTP_Emulation.RTP_Emulation_CT, component name: RTPEM0. Version: 9.0.0. 10:13:43.825025 3 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:13:43.825045 3 - Connected to MC. 10:13:43.825050 3 - Initializing variables, timers and ports of component type RTP_Emulation.RTP_Emulation_CT inside testcase TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly. 10:13:43.825198 mtc MGCP_Test.ttcn:117 PTC was created. Component reference: 3, alive: no, type: RTP_Emulation.RTP_Emulation_CT, component name: RTPEM0. 10:13:43.825226 mtc MGCP_Test.ttcn:118 Mapping port RTPEM0(3):RTP to system:RTP. 10:13:43.825581 3 - Port RTP was started. 10:13:43.825590 3 - Port RTCP was started. 10:13:43.825592 3 - Port CTRL was started. 10:13:43.825595 3 - Port DATA was started. 10:13:43.825597 3 - Component type RTP_Emulation.RTP_Emulation_CT was initialized. 10:13:43.825610 3 - Port RTP was mapped to system:RTP. 10:13:43.825713 mtc MGCP_Test.ttcn:118 Map operation of RTPEM0(3):RTP to system:RTP finished. 10:13:43.825731 mtc MGCP_Test.ttcn:119 Mapping port RTPEM0(3):RTCP to system:RTCP. 10:13:43.825832 3 - Port RTCP was mapped to system:RTCP. 10:13:43.825916 mtc MGCP_Test.ttcn:119 Map operation of RTPEM0(3):RTCP to system:RTCP finished. 10:13:43.826008 mtc MGCP_Test.ttcn:120 Starting function f_main() on component RTPEM0(3). 10:13:43.826177 mtc MGCP_Test.ttcn:120 Function was started. 10:13:43.826243 3 - Starting function f_main(). 10:13:43.826245 mtc MGCP_Test.ttcn:155 Connecting ports RTPEM0(3):CTRL and mtc:RTPEM[0]. 10:13:43.826445 mtc MGCP_Test.ttcn:155 Port RTPEM[0] is waiting for connection from RTPEM0(3):CTRL on UNIX pathname /tmp/ttcn3-portconn-7d73f707. 10:13:43.828165 3 RTP_Emulation.ttcn:458 Port CTRL has established the connection with mtc:RTPEM[0] using transport type UNIX. 10:13:43.828378 mtc MGCP_Test.ttcn:155 Port RTPEM[0] has accepted the connection from RTPEM0(3):CTRL. 10:13:43.828510 mtc MGCP_Test.ttcn:155 Connect operation on RTPEM0(3):CTRL and mtc:RTPEM[0] finished. 10:13:43.828583 mtc MGCP_Test.ttcn:117 Creating new PTC with component type RTP_Emulation.RTP_Emulation_CT, component name: RTPEM1. 10:13:43.834691 4 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:13:43.834908 4 - TTCN-3 Parallel Test Component started on b5a93ff254e7. Component reference: RTPEM1(4), component type: RTP_Emulation.RTP_Emulation_CT, component name: RTPEM1. Version: 9.0.0. 10:13:43.834958 4 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:13:43.835041 4 - Connected to MC. 10:13:43.835065 4 - Initializing variables, timers and ports of component type RTP_Emulation.RTP_Emulation_CT inside testcase TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly. 10:13:43.835297 mtc MGCP_Test.ttcn:117 PTC was created. Component reference: 4, alive: no, type: RTP_Emulation.RTP_Emulation_CT, component name: RTPEM1. 10:13:43.835393 mtc MGCP_Test.ttcn:118 Mapping port RTPEM1(4):RTP to system:RTP. 10:13:43.837079 4 - Port RTP was started. 10:13:43.837124 4 - Port RTCP was started. 10:13:43.837137 4 - Port CTRL was started. 10:13:43.837148 4 - Port DATA was started. 10:13:43.837159 4 - Component type RTP_Emulation.RTP_Emulation_CT was initialized. 10:13:43.837229 4 - Port RTP was mapped to system:RTP. 10:13:43.837494 mtc MGCP_Test.ttcn:118 Map operation of RTPEM1(4):RTP to system:RTP finished. 10:13:43.837600 mtc MGCP_Test.ttcn:119 Mapping port RTPEM1(4):RTCP to system:RTCP. 10:13:43.837884 4 - Port RTCP was mapped to system:RTCP. 10:13:43.838033 mtc MGCP_Test.ttcn:119 Map operation of RTPEM1(4):RTCP to system:RTCP finished. 10:13:43.838059 mtc MGCP_Test.ttcn:120 Starting function f_main() on component RTPEM1(4). 10:13:43.838130 mtc MGCP_Test.ttcn:120 Function was started. 10:13:43.838154 mtc MGCP_Test.ttcn:155 Connecting ports RTPEM1(4):CTRL and mtc:RTPEM[1]. 10:13:43.838188 4 - Starting function f_main(). 10:13:43.838368 mtc MGCP_Test.ttcn:155 Port RTPEM[1] is waiting for connection from RTPEM1(4):CTRL on UNIX pathname /tmp/ttcn3-portconn-7a72f707. 10:13:43.839983 4 RTP_Emulation.ttcn:458 Port CTRL has established the connection with mtc:RTPEM[1] using transport type UNIX. 10:13:43.840185 mtc MGCP_Test.ttcn:155 Port RTPEM[1] has accepted the connection from RTPEM1(4):CTRL. 10:13:43.840288 mtc MGCP_Test.ttcn:155 Connect operation on RTPEM1(4):CTRL and mtc:RTPEM[1] finished. 10:13:43.840347 mtc MGCP_Test.ttcn:117 Creating new PTC with component type RTP_Emulation.RTP_Emulation_CT, component name: RTPEM2. 10:13:43.845818 5 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:13:43.846002 5 - TTCN-3 Parallel Test Component started on b5a93ff254e7. Component reference: RTPEM2(5), component type: RTP_Emulation.RTP_Emulation_CT, component name: RTPEM2. Version: 9.0.0. 10:13:43.846038 5 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:13:43.846103 5 - Connected to MC. 10:13:43.846122 5 - Initializing variables, timers and ports of component type RTP_Emulation.RTP_Emulation_CT inside testcase TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly. 10:13:43.846183 mtc MGCP_Test.ttcn:117 PTC was created. Component reference: 5, alive: no, type: RTP_Emulation.RTP_Emulation_CT, component name: RTPEM2. 10:13:43.846216 mtc MGCP_Test.ttcn:118 Mapping port RTPEM2(5):RTP to system:RTP. 10:13:43.847804 5 - Port RTP was started. 10:13:43.847843 5 - Port RTCP was started. 10:13:43.847853 5 - Port CTRL was started. 10:13:43.847863 5 - Port DATA was started. 10:13:43.847872 5 - Component type RTP_Emulation.RTP_Emulation_CT was initialized. 10:13:43.847930 5 - Port RTP was mapped to system:RTP. 10:13:43.848080 mtc MGCP_Test.ttcn:118 Map operation of RTPEM2(5):RTP to system:RTP finished. 10:13:43.848166 mtc MGCP_Test.ttcn:119 Mapping port RTPEM2(5):RTCP to system:RTCP. 10:13:43.848320 5 - Port RTCP was mapped to system:RTCP. 10:13:43.848531 mtc MGCP_Test.ttcn:119 Map operation of RTPEM2(5):RTCP to system:RTCP finished. 10:13:43.848620 mtc MGCP_Test.ttcn:120 Starting function f_main() on component RTPEM2(5). 10:13:43.848695 mtc MGCP_Test.ttcn:120 Function was started. 10:13:43.848717 mtc MGCP_Test.ttcn:155 Connecting ports RTPEM2(5):CTRL and mtc:RTPEM[2]. 10:13:43.848828 5 - Starting function f_main(). 10:13:43.848884 mtc MGCP_Test.ttcn:155 Port RTPEM[2] is waiting for connection from RTPEM2(5):CTRL on UNIX pathname /tmp/ttcn3-portconn-7b71f707. 10:13:43.850403 5 RTP_Emulation.ttcn:458 Port CTRL has established the connection with mtc:RTPEM[2] using transport type UNIX. 10:13:43.850621 mtc MGCP_Test.ttcn:155 Port RTPEM[2] has accepted the connection from RTPEM2(5):CTRL. 10:13:43.850717 mtc MGCP_Test.ttcn:155 Connect operation on RTPEM2(5):CTRL and mtc:RTPEM[2] finished. 10:13:43.850857 mtc StatsD_Checker.ttcn:251 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: VirtCallAgent-STATS. 10:13:43.855375 6 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:13:43.855530 6 - TTCN-3 Parallel Test Component started on b5a93ff254e7. Component reference: VirtCallAgent-STATS(6), component type: StatsD_Checker.StatsD_Checker_CT, component name: VirtCallAgent-STATS. Version: 9.0.0. 10:13:43.855557 6 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:13:43.855617 6 - Connected to MC. 10:13:43.855634 6 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly. 10:13:43.855717 mtc StatsD_Checker.ttcn:251 PTC was created. Component reference: 6, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: VirtCallAgent-STATS. 10:13:43.855806 mtc StatsD_Checker.ttcn:252 Starting function main("127.0.0.1", 8125) on component VirtCallAgent-STATS(6). 10:13:43.855936 mtc StatsD_Checker.ttcn:252 Function was started. 10:13:43.855957 mtc MGCP_Test.ttcn:163 Connecting ports mtc:STATSD_PROC and VirtCallAgent-STATS(6):STATSD_PROC. 10:13:43.856079 mtc MGCP_Test.ttcn:163 Port STATSD_PROC is waiting for connection from VirtCallAgent-STATS(6):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-7545a802. 10:13:43.856907 6 - Port STATSVTY was started. 10:13:43.856934 6 - Port STATSD_PROC was started. 10:13:43.856945 6 - Port STATS was started. 10:13:43.856953 6 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 10:13:43.857017 6 - Starting function main("127.0.0.1", 8125). 10:13:43.857086 6 - Port STATSD_PROC has established the connection with mtc:STATSD_PROC using transport type UNIX. 10:13:43.857209 6 StatsD_Checker.ttcn:103 Mapping port VirtCallAgent-STATS(6):STATS to system:STATS. 10:13:43.857214 mtc MGCP_Test.ttcn:163 Port STATSD_PROC has accepted the connection from VirtCallAgent-STATS(6):STATSD_PROC. 10:13:43.857274 mtc MGCP_Test.ttcn:163 Connect operation on mtc:STATSD_PROC and VirtCallAgent-STATS(6):STATSD_PROC finished. 10:13:43.857287 6 StatsD_Checker.ttcn:103 Port STATS was mapped to system:STATS. 10:13:43.857359 6 StatsD_Checker.ttcn:103 Map operation of VirtCallAgent-STATS(6):STATS to system:STATS finished. 10:13:43.857381 mtc StatsD_Checker.ttcn:262 Called on STATSD_PROC to VirtCallAgent-STATS(6) @StatsD_Checker.STATSD_reset : { } 10:13:43.857510 6 StatsD_Checker.ttcn:104 entering f__IPL4__PROVIDER__listen: 127.0.0.1:8125 / UDP 10:13:43.858358 6 StatsD_Checker.ttcn:111 Mapping port VirtCallAgent-STATS(6):STATSVTY to system:STATSVTY. 10:13:43.858422 6 StatsD_Checker.ttcn:111 Call enqueued on STATSD_PROC from mtc @StatsD_Checker.STATSD_reset : { } id 1 10:13:43.859130 6 StatsD_Checker.ttcn:111 Message enqueued on STATSVTY from system integer : 2 id 1 10:13:43.859261 6 StatsD_Checker.ttcn:111 Port STATSVTY was mapped to system:STATSVTY. 10:13:43.859330 6 StatsD_Checker.ttcn:111 Map operation of VirtCallAgent-STATS(6):STATSVTY to system:STATSVTY finished. 10:13:43.859531 6 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoMGW> ", has_wildcards := false } } 10:13:43.859626 6 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoMGW# ", has_wildcards := false } } 10:13:43.859653 6 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoMGW(*)", has_wildcards := true } } 10:13:43.859863 6 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 10:13:43.859990 6 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:13:43.860071 6 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:13:43.860087 6 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:13:43.860100 6 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:13:43.860112 6 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:13:43.860140 6 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:13:43.860209 6 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 10:13:43.860244 6 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 10:13:43.860273 6 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 10:13:43.860376 6 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoMGW# " id 2 10:13:43.860502 6 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoMGW# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:13:43.860589 6 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoMGW# " with pattern "[\w-]+\# " matched 10:13:43.860606 6 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoMGW# " id 2 10:13:43.860622 6 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 10:13:43.860651 6 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:13:43.860680 6 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 10:13:43.860716 6 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:13:43.860985 6 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoMGW# " id 3 10:13:43.861139 6 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoMGW# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:13:43.861215 6 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoMGW# " with pattern "[\w-]+\# " matched 10:13:43.861237 6 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoMGW# " id 3 10:13:43.861257 6 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 10:13:43.861278 6 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:13:43.861361 6 StatsD_Checker.ttcn:120 Matching on port STATSD_PROC succeeded: { } with { } matched 10:13:43.861404 6 StatsD_Checker.ttcn:120 Getcall operation on port STATSD_PROC succeeded, call from mtc: @StatsD_Checker.STATSD_reset : { } id 1 10:13:43.861438 6 StatsD_Checker.ttcn:120 Operation with id 1 was extracted from the queue of STATSD_PROC. 10:13:43.861457 6 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 10:13:43.861521 6 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:13:43.861715 6 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoMGW# " id 4 10:13:43.861769 6 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoMGW# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:13:43.861831 6 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoMGW# " with pattern "[\w-]+\# " matched 10:13:43.861851 6 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoMGW# " id 4 10:13:43.861870 6 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of STATSVTY. 10:13:43.861890 6 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:13:43.861953 6 StatsD_Checker.ttcn:122 Replied on STATSD_PROC to mtc @StatsD_Checker.STATSD_reset : { } 10:13:43.862166 mtc StatsD_Checker.ttcn:262 Reply enqueued on STATSD_PROC from VirtCallAgent-STATS(6) @StatsD_Checker.STATSD_reset : { } id 1 10:13:43.862330 mtc StatsD_Checker.ttcn:263 Matching on port STATSD_PROC succeeded: { } with { } matched 10:13:43.862385 mtc StatsD_Checker.ttcn:263 Getreply operation on port STATSD_PROC succeeded, reply from VirtCallAgent-STATS(6): @StatsD_Checker.STATSD_reset : { } id 1 10:13:43.862424 mtc StatsD_Checker.ttcn:263 Operation with id 1 was extracted from the queue of STATSD_PROC. 10:13:43.862519 mtc Osmocom_CTRL_Adapter.ttcn:69 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 10:13:43.866965 7 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:13:43.867105 7 - TTCN-3 Parallel Test Component started on b5a93ff254e7. Component reference: IPA-CTRL-CLI-IPA(7), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. Version: 9.0.0. 10:13:43.867132 7 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:13:43.867186 7 - Connected to MC. 10:13:43.867200 7 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly. 10:13:43.867392 mtc Osmocom_CTRL_Adapter.ttcn:69 PTC was created. Component reference: 7, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 10:13:43.867492 mtc Osmocom_CTRL_Adapter.ttcn:71 Mapping port IPA-CTRL-CLI-IPA(7):IPA_PORT to system:IPA_CODEC_PT. 10:13:43.868315 7 - Port IPA_PORT was started. 10:13:43.868344 7 - Port CFG_PORT was started. 10:13:43.868353 7 - Port IPA_CTRL_PORT was started. 10:13:43.868360 7 - Port IPA_SP_PORT was started. 10:13:43.868368 7 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:13:43.868409 7 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:13:43.868620 mtc Osmocom_CTRL_Adapter.ttcn:71 Map operation of IPA-CTRL-CLI-IPA(7):IPA_PORT to system:IPA_CODEC_PT finished. 10:13:43.868706 mtc Osmocom_CTRL_Adapter.ttcn:72 Connecting ports IPA-CTRL-CLI-IPA(7):IPA_CTRL_PORT and mtc:IPA_CTRL. 10:13:43.868997 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL is waiting for connection from IPA-CTRL-CLI-IPA(7):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-3000f21f. 10:13:43.869045 7 - Port IPA_CTRL_PORT has established the connection with mtc:IPA_CTRL using transport type UNIX. 10:13:43.869103 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL has accepted the connection from IPA-CTRL-CLI-IPA(7):IPA_CTRL_PORT. 10:13:43.869127 mtc Osmocom_CTRL_Adapter.ttcn:72 Connect operation on IPA-CTRL-CLI-IPA(7):IPA_CTRL_PORT and mtc:IPA_CTRL finished. 10:13:43.869218 mtc Osmocom_CTRL_Adapter.ttcn:74 Starting function main_client("127.0.0.1", 4267, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false) on component IPA-CTRL-CLI-IPA(7). 10:13:43.869476 mtc Osmocom_CTRL_Adapter.ttcn:74 Function was started. 10:13:43.869554 mtc Osmocom_CTRL_Adapter.ttcn:37 Start timer T: 10 s 10:13:43.869571 7 - Starting function main_client("127.0.0.1", 4267, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false). 10:13:43.869844 7 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :0 -> 127.0.0.1:4267 / TCP 10:13:43.871426 7 IPA_Emulation.ttcnpp:388 Sent on IPA_CTRL_PORT to mtc @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 10:13:43.871903 mtc Osmocom_CTRL_Adapter.ttcn:38 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(7) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:13:43.872213 mtc Osmocom_CTRL_Adapter.ttcn:39 Matching on port IPA_CTRL succeeded: matched 10:13:43.872260 mtc Osmocom_CTRL_Adapter.ttcn:39 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(7): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:13:43.872299 mtc Osmocom_CTRL_Adapter.ttcn:39 Message with id 1 was extracted from the queue of IPA_CTRL. 10:13:43.873232 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "DLCX", trans_id := "46248", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { }, sdp := omit } } } 10:13:43.873652 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '444C4358203436323438207274706272696467652F32406D6777204D47435020312E300D0A'O ("DLCX 46248 rtpbridge/2@mgw MGCP 1.0\r ") } 10:13:43.873927 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 10:13:43.874740 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '353135203436323438204641494C0D0A'O ("515 46248 FAIL\r ") } id 1 10:13:43.875505 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "515", trans_id := "46248", string := "FAIL" }, params := { }, sdp := omit } } } id 1 10:13:43.875706 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 10:13:43.875752 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "515", trans_id := "46248", string := "FAIL" }, params := { }, sdp := omit } } } id 1 10:13:43.875783 mtc MGCP_Test.ttcn:273 Message with id 1 was extracted from the queue of MGCP. 10:13:43.875814 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 10:13:43.875887 mtc MGCP_Test.ttcn:108 Mapping port mtc:MGWVTY to system:MGWVTY. 10:13:43.876746 mtc MGCP_Test.ttcn:108 Message enqueued on MGWVTY from system integer : 2 id 1 10:13:43.876860 mtc MGCP_Test.ttcn:108 Port MGWVTY was mapped to system:MGWVTY. 10:13:43.876927 mtc MGCP_Test.ttcn:108 Map operation of mtc:MGWVTY to system:MGWVTY finished. 10:13:43.877123 mtc Osmocom_VTY_Functions.ttcn:59 Sent on MGWVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoMGW> ", has_wildcards := false } } 10:13:43.877220 mtc Osmocom_VTY_Functions.ttcn:59 Sent on MGWVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoMGW# ", has_wildcards := false } } 10:13:43.877254 mtc Osmocom_VTY_Functions.ttcn:59 Sent on MGWVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoMGW(*)", has_wildcards := true } } 10:13:43.877476 mtc Osmocom_VTY_Functions.ttcn:105 Sent on MGWVTY to system charstring : "enable" 10:13:43.877587 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:13:43.877652 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY failed: Type of the first message in the queue is not charstring. 10:13:43.877669 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY failed: Type of the first message in the queue is not charstring. 10:13:43.877688 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port MGWVTY failed: Type of the first message in the queue is not charstring. 10:13:43.877715 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port MGWVTY failed: Type of the first message in the queue is not charstring. 10:13:43.877738 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port MGWVTY failed: Type of the first message in the queue is not charstring. 10:13:43.877802 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port MGWVTY succeeded: 2 with ? matched 10:13:43.877829 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port MGWVTY succeeded, message from system(): integer : 2 id 1 10:13:43.877855 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of MGWVTY. 10:13:43.877960 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on MGWVTY from system charstring : "OsmoMGW# " id 2 10:13:43.878092 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY "OsmoMGW# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:13:43.878161 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY succeeded: "OsmoMGW# " with pattern "[\w-]+\# " matched 10:13:43.878179 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port MGWVTY succeeded, message from system(): charstring : "OsmoMGW# " id 2 10:13:43.878195 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of MGWVTY. 10:13:43.878223 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:13:43.878256 mtc Osmocom_VTY_Functions.ttcn:105 Sent on MGWVTY to system charstring : "configure terminal" 10:13:43.878292 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:13:43.878727 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on MGWVTY from system charstring : "OsmoMGW(config)# " id 3 10:13:43.878862 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY "OsmoMGW(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:13:43.878917 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY "OsmoMGW(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:13:43.878985 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port MGWVTY succeeded: "OsmoMGW(config)# " with pattern "[\w-]+\(*\)\# " matched 10:13:43.879003 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port MGWVTY succeeded, message from system(): charstring : "OsmoMGW(config)# " id 3 10:13:43.879022 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of MGWVTY. 10:13:43.879042 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:13:43.879066 mtc Osmocom_VTY_Functions.ttcn:105 Sent on MGWVTY to system charstring : "mgcp" 10:13:43.879125 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:13:43.879413 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on MGWVTY from system charstring : "OsmoMGW(config-mgcp)# " id 4 10:13:43.879547 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY "OsmoMGW(config-mgcp)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:13:43.879606 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY "OsmoMGW(config-mgcp)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:13:43.879670 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port MGWVTY succeeded: "OsmoMGW(config-mgcp)# " with pattern "[\w-]+\(*\)\# " matched 10:13:43.879690 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port MGWVTY succeeded, message from system(): charstring : "OsmoMGW(config-mgcp)# " id 4 10:13:43.879721 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of MGWVTY. 10:13:43.879741 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:13:43.879765 mtc Osmocom_VTY_Functions.ttcn:105 Sent on MGWVTY to system charstring : "osmux off" 10:13:43.879825 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:13:43.880102 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on MGWVTY from system charstring : "OsmoMGW(config-mgcp)# " id 5 10:13:43.880226 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY "OsmoMGW(config-mgcp)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:13:43.880295 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY "OsmoMGW(config-mgcp)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:13:43.880353 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port MGWVTY succeeded: "OsmoMGW(config-mgcp)# " with pattern "[\w-]+\(*\)\# " matched 10:13:43.880372 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port MGWVTY succeeded, message from system(): charstring : "OsmoMGW(config-mgcp)# " id 5 10:13:43.880390 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of MGWVTY. 10:13:43.880407 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:13:43.880429 mtc Osmocom_VTY_Functions.ttcn:105 Sent on MGWVTY to system charstring : "exit" 10:13:43.880481 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:13:43.880660 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on MGWVTY from system charstring : "OsmoMGW(config)# " id 6 10:13:43.880695 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY "OsmoMGW(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:13:43.880726 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY "OsmoMGW(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:13:43.880770 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port MGWVTY succeeded: "OsmoMGW(config)# " with pattern "[\w-]+\(*\)\# " matched 10:13:43.880786 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port MGWVTY succeeded, message from system(): charstring : "OsmoMGW(config)# " id 6 10:13:43.880799 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of MGWVTY. 10:13:43.880814 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:13:43.880826 mtc Osmocom_VTY_Functions.ttcn:105 Sent on MGWVTY to system charstring : "exit" 10:13:43.880846 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:13:43.880998 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on MGWVTY from system charstring : "OsmoMGW# " id 7 10:13:43.881030 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY "OsmoMGW# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:13:43.881064 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY succeeded: "OsmoMGW# " with pattern "[\w-]+\# " matched 10:13:43.881079 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port MGWVTY succeeded, message from system(): charstring : "OsmoMGW# " id 7 10:13:43.881093 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of MGWVTY. 10:13:43.881107 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:13:43.881761 mtc RTP_Emulation.ttcn:201 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 10000 } 10:13:43.882025 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 10000 } id 1 10:13:43.882137 3 RTP_Emulation.ttcn:460 Matching on port CTRL succeeded: { local_host := "127.0.0.1" with ? matched, local_port := 10000 with ? matched } 10:13:43.882193 3 RTP_Emulation.ttcn:460 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 10000 } id 1 10:13:43.882222 3 RTP_Emulation.ttcn:460 Operation with id 1 was extracted from the queue of CTRL. 10:13:43.882382 3 RTP_Emulation.ttcn:473 entering f__IPL4__PROVIDER__listen: 127.0.0.1:10000 / UDP 10:13:43.883198 3 RTP_Emulation.ttcn:486 entering f__IPL4__PROVIDER__listen: 127.0.0.1:10001 / UDP 10:13:43.883317 3 RTP_Emulation.ttcn:494 Replied on CTRL to mtc @RTP_Emulation.RTPEM_bind : { local_port := 10000 } 10:13:43.883433 mtc RTP_Emulation.ttcn:201 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_bind : { local_port := 10000 } id 1 10:13:43.883486 mtc RTP_Emulation.ttcn:202 Matching on port RTPEM[0] succeeded: { local_port := 10000 with ? matched } 10:13:43.883529 mtc RTP_Emulation.ttcn:202 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_bind : { local_port := 10000 } id 1 10:13:43.883555 mtc RTP_Emulation.ttcn:202 Operation with id 1 was extracted from the queue of RTPEM[0]. 10:13:43.883925 mtc RTP_Emulation.ttcn:216 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 96, fixed_payload := '4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } }, rx_payloads := { { payload_type := 96, fixed_payload := '08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } }, iuup_mode := true, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } 10:13:43.884429 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 96, fixed_payload := '4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } }, rx_payloads := { { payload_type := 96, fixed_payload := '08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } }, iuup_mode := true, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 2 10:13:43.884522 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:43.884542 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:43.884553 3 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:43.884565 3 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:43.884573 3 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:43.884581 3 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:43.884589 3 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:43.884604 3 RTP_Emulation.ttcn:572 Matching on port CTRL succeeded: { cfg := matched } 10:13:43.884663 3 RTP_Emulation.ttcn:572 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 96, fixed_payload := '4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } }, rx_payloads := { { payload_type := 96, fixed_payload := '08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } }, iuup_mode := true, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 1 10:13:43.884682 3 RTP_Emulation.ttcn:572 Operation with id 2 was extracted from the queue of CTRL. 10:13:43.884825 3 RTP_Emulation.ttcn:575 Replied on CTRL to mtc @RTP_Emulation.RTPEM_configure : { } 10:13:43.884871 mtc RTP_Emulation.ttcn:216 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_configure : { } id 2 10:13:43.884895 mtc RTP_Emulation.ttcn:217 Matching on port RTPEM[0] succeeded: { } with { } matched 10:13:43.884909 mtc RTP_Emulation.ttcn:217 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_configure : { } id 1 10:13:43.884922 mtc RTP_Emulation.ttcn:217 Operation with id 2 was extracted from the queue of RTPEM[0]. 10:13:43.888660 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "CRCX", trans_id := "46249", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { { code := "M", val := "recvonly" }, { code := "C", val := "1227" }, { code := "L", val := "p:20, a:AMR" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "23", session_version := "42", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 10000, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "96" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "96 VND.3GPP.IUFP/16000" } }, { ptime := { attr_value := "20" } } } } } } } } } 10:13:43.888847 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '43524358203436323439207274706272696467652F32406D6777204D47435020312E300D0A4D3A20726563766F6E6C790D0A433A20313232370D0A4C3A20703A32302C20613A414D520D0A0D0A763D300D0A6F3D2D20323320343220494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F203130303030205254502F4156502039360D0A613D7274706D61703A393620564E442E334750502E495546502F31363030300D0A613D7074696D653A32300D0A'O ("CRCX 46249 rtpbridge/2@mgw MGCP 1.0\r M: recvonly\r C: 1227\r L: p:20, a:AMR\r \r v=0\r o=- 23 42 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 10000 RTP/AVP 96\r a=rtpmap:96 VND.3GPP.IUFP/16000\r a=ptime:20\r ") } 10:13:43.888895 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 10:13:43.889373 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '323030203436323439204F4B0D0A493A2046464438453541390D0A0D0A763D300D0A6F3D2D20464644384535413920323320494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F2034303032205254502F4156502039360D0A613D7274706D61703A393620564E442E334750502E495546502F31363030300D0A613D7074696D653A32300D0A'O ("200 46249 OK\r I: FFD8E5A9\r \r v=0\r o=- FFD8E5A9 23 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 4002 RTP/AVP 96\r a=rtpmap:96 VND.3GPP.IUFP/16000\r a=ptime:20\r ") } id 2 10:13:43.890164 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46249", string := "OK" }, params := { { code := "I", val := "FFD8E5A9" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "FFD8E5A9", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4002, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "96" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "96 VND.3GPP.IUFP/16000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 2 10:13:43.890219 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 10:13:43.890242 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46249", string := "OK" }, params := { { code := "I", val := "FFD8E5A9" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "FFD8E5A9", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4002, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "96" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "96 VND.3GPP.IUFP/16000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 2 10:13:43.890256 mtc MGCP_Test.ttcn:273 Message with id 2 was extracted from the queue of MGCP. 10:13:43.890264 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 10:13:43.890349 mtc RTP_Emulation.ttcn:206 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4002 } 10:13:43.890407 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4002 } id 3 10:13:43.890446 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:43.890458 3 RTP_Emulation.ttcn:496 Matching on port CTRL succeeded: { remote_host := "127.0.0.1" with ? matched, remote_port := 4002 with ? matched } 10:13:43.890469 3 RTP_Emulation.ttcn:496 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4002 } id 1 10:13:43.890478 3 RTP_Emulation.ttcn:496 Operation with id 3 was extracted from the queue of CTRL. 10:13:43.890503 3 RTP_Emulation.ttcn:502 entering f__IPL4__PROVIDER__connect: 127.0.0.1:10000 -> 127.0.0.1:4002 / UDP 10:13:43.890540 3 RTP_Emulation.ttcn:510 entering f__IPL4__PROVIDER__connect: 127.0.0.1:10001 -> 127.0.0.1:4003 / UDP 10:13:43.890554 3 RTP_Emulation.ttcn:523 Replied on CTRL to mtc @RTP_Emulation.RTPEM_connect : { } 10:13:43.890578 3 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:43.890581 mtc RTP_Emulation.ttcn:206 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_connect : { } id 3 10:13:43.890593 mtc RTP_Emulation.ttcn:207 Matching on port RTPEM[0] succeeded: { } with { } matched 10:13:43.890601 mtc RTP_Emulation.ttcn:207 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_connect : { } id 1 10:13:43.890609 mtc RTP_Emulation.ttcn:207 Operation with id 3 was extracted from the queue of RTPEM[0]. 10:13:43.890643 mtc RTP_Emulation.ttcn:211 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_RXONLY (2) } 10:13:43.890738 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_RXONLY (2) } id 4 10:13:43.890776 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:43.890784 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:43.890808 3 RTP_Emulation.ttcn:525 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_RXONLY (2) with RTPEM_MODE_NONE (0) unmatched } 10:13:43.890823 3 RTP_Emulation.ttcn:531 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_RXONLY (2) with RTPEM_MODE_TXONLY (1) unmatched } 10:13:43.890829 3 RTP_Emulation.ttcn:538 Matching on port CTRL succeeded: { mode := RTPEM_MODE_RXONLY (2) with RTPEM_MODE_RXONLY (2) matched } 10:13:43.890837 3 RTP_Emulation.ttcn:538 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_RXONLY (2) } id 1 10:13:43.890845 3 RTP_Emulation.ttcn:538 Operation with id 4 was extracted from the queue of CTRL. 10:13:43.890855 3 RTP_Emulation.ttcn:540 Warning: Stopping inactive timer T_transmit. 10:13:43.890878 3 RTP_Emulation.ttcn:543 Port RTP was cleared. 10:13:43.890885 3 RTP_Emulation.ttcn:544 Port RTCP was cleared. 10:13:43.890893 3 RTP_Emulation.ttcn:548 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 10:13:43.890906 3 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:43.890910 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_mode : { } id 4 10:13:43.890919 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[0] succeeded: { } with { } matched 10:13:43.890926 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_mode : { } id 1 10:13:43.890932 mtc RTP_Emulation.ttcn:212 Operation with id 4 was extracted from the queue of RTPEM[0]. 10:13:43.890941 mtc RTP_Emulation.ttcn:201 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } 10:13:43.891088 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } id 1 10:13:43.891151 4 RTP_Emulation.ttcn:460 Matching on port CTRL succeeded: { local_host := "127.0.0.1" with ? matched, local_port := 20000 with ? matched } 10:13:43.891182 4 RTP_Emulation.ttcn:460 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } id 1 10:13:43.891199 4 RTP_Emulation.ttcn:460 Operation with id 1 was extracted from the queue of CTRL. 10:13:43.891276 4 RTP_Emulation.ttcn:473 entering f__IPL4__PROVIDER__listen: 127.0.0.1:20000 / UDP 10:13:43.891732 4 RTP_Emulation.ttcn:486 entering f__IPL4__PROVIDER__listen: 127.0.0.1:20001 / UDP 10:13:43.891808 4 RTP_Emulation.ttcn:494 Replied on CTRL to mtc @RTP_Emulation.RTPEM_bind : { local_port := 20000 } 10:13:43.891852 mtc RTP_Emulation.ttcn:201 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_bind : { local_port := 20000 } id 1 10:13:43.891861 mtc RTP_Emulation.ttcn:202 Matching on port RTPEM[1] succeeded: { local_port := 20000 with ? matched } 10:13:43.891869 mtc RTP_Emulation.ttcn:202 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_bind : { local_port := 20000 } id 1 10:13:43.891876 mtc RTP_Emulation.ttcn:202 Operation with id 1 was extracted from the queue of RTPEM[1]. 10:13:43.891915 mtc RTP_Emulation.ttcn:216 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 112, fixed_payload := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } }, rx_payloads := { { payload_type := 112, fixed_payload := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } 10:13:43.892178 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 112, fixed_payload := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } }, rx_payloads := { { payload_type := 112, fixed_payload := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 2 10:13:43.892231 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:43.892242 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:43.892249 4 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:43.892254 4 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:43.892261 4 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:43.892267 4 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:43.892272 4 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:43.892281 4 RTP_Emulation.ttcn:572 Matching on port CTRL succeeded: { cfg := matched } 10:13:43.892317 4 RTP_Emulation.ttcn:572 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 112, fixed_payload := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } }, rx_payloads := { { payload_type := 112, fixed_payload := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 1 10:13:43.892329 4 RTP_Emulation.ttcn:572 Operation with id 2 was extracted from the queue of CTRL. 10:13:43.892411 4 RTP_Emulation.ttcn:575 Replied on CTRL to mtc @RTP_Emulation.RTPEM_configure : { } 10:13:43.892434 mtc RTP_Emulation.ttcn:216 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_configure : { } id 2 10:13:43.892445 mtc RTP_Emulation.ttcn:217 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:43.892452 mtc RTP_Emulation.ttcn:217 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_configure : { } id 1 10:13:43.892459 mtc RTP_Emulation.ttcn:217 Operation with id 2 was extracted from the queue of RTPEM[1]. 10:13:43.892630 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "CRCX", trans_id := "46250", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { { code := "M", val := "recvonly" }, { code := "C", val := "1227" }, { code := "L", val := "p:20, a:AMR" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "23", session_version := "42", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 20000, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } 10:13:43.892680 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '43524358203436323530207274706272696467652F32406D6777204D47435020312E300D0A4D3A20726563766F6E6C790D0A433A20313232370D0A4C3A20703A32302C20613A414D520D0A0D0A763D300D0A6F3D2D20323320343220494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F203230303030205254502F415650203131320D0A613D7274706D61703A31313220414D522F383030300D0A613D7074696D653A32300D0A'O ("CRCX 46250 rtpbridge/2@mgw MGCP 1.0\r M: recvonly\r C: 1227\r L: p:20, a:AMR\r \r v=0\r o=- 23 42 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 20000 RTP/AVP 112\r a=rtpmap:112 AMR/8000\r a=ptime:20\r ") } 10:13:43.892719 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 10:13:43.893157 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '323030203436323530204F4B0D0A493A2041373539433530380D0A0D0A763D300D0A6F3D2D20413735394335303820323320494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F2034303034205254502F415650203131320D0A613D7274706D61703A31313220414D522F383030300D0A613D7074696D653A32300D0A'O ("200 46250 OK\r I: A759C508\r \r v=0\r o=- A759C508 23 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 4004 RTP/AVP 112\r a=rtpmap:112 AMR/8000\r a=ptime:20\r ") } id 3 10:13:43.893288 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46250", string := "OK" }, params := { { code := "I", val := "A759C508" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "A759C508", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4004, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 3 10:13:43.893317 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 10:13:43.893337 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46250", string := "OK" }, params := { { code := "I", val := "A759C508" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "A759C508", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4004, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 3 10:13:43.893351 mtc MGCP_Test.ttcn:273 Message with id 3 was extracted from the queue of MGCP. 10:13:43.893359 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 10:13:43.893383 mtc RTP_Emulation.ttcn:206 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4004 } 10:13:43.893475 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4004 } id 3 10:13:43.893516 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:43.893528 4 RTP_Emulation.ttcn:496 Matching on port CTRL succeeded: { remote_host := "127.0.0.1" with ? matched, remote_port := 4004 with ? matched } 10:13:43.893541 4 RTP_Emulation.ttcn:496 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4004 } id 1 10:13:43.893550 4 RTP_Emulation.ttcn:496 Operation with id 3 was extracted from the queue of CTRL. 10:13:43.893575 4 RTP_Emulation.ttcn:502 entering f__IPL4__PROVIDER__connect: 127.0.0.1:20000 -> 127.0.0.1:4004 / UDP 10:13:43.893615 4 RTP_Emulation.ttcn:510 entering f__IPL4__PROVIDER__connect: 127.0.0.1:20001 -> 127.0.0.1:4005 / UDP 10:13:43.893630 4 RTP_Emulation.ttcn:523 Replied on CTRL to mtc @RTP_Emulation.RTPEM_connect : { } 10:13:43.893653 mtc RTP_Emulation.ttcn:206 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_connect : { } id 3 10:13:43.893654 4 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:43.893663 mtc RTP_Emulation.ttcn:207 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:43.893671 mtc RTP_Emulation.ttcn:207 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_connect : { } id 1 10:13:43.893679 mtc RTP_Emulation.ttcn:207 Operation with id 3 was extracted from the queue of RTPEM[1]. 10:13:43.893695 mtc RTP_Emulation.ttcn:211 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_RXONLY (2) } 10:13:43.893801 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_RXONLY (2) } id 4 10:13:43.893841 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:43.893849 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:43.893874 4 RTP_Emulation.ttcn:525 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_RXONLY (2) with RTPEM_MODE_NONE (0) unmatched } 10:13:43.893894 4 RTP_Emulation.ttcn:531 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_RXONLY (2) with RTPEM_MODE_TXONLY (1) unmatched } 10:13:43.893901 4 RTP_Emulation.ttcn:538 Matching on port CTRL succeeded: { mode := RTPEM_MODE_RXONLY (2) with RTPEM_MODE_RXONLY (2) matched } 10:13:43.893910 4 RTP_Emulation.ttcn:538 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_RXONLY (2) } id 1 10:13:43.893918 4 RTP_Emulation.ttcn:538 Operation with id 4 was extracted from the queue of CTRL. 10:13:43.893930 4 RTP_Emulation.ttcn:540 Warning: Stopping inactive timer T_transmit. 10:13:43.893955 4 RTP_Emulation.ttcn:543 Port RTP was cleared. 10:13:43.893962 4 RTP_Emulation.ttcn:544 Port RTCP was cleared. 10:13:43.893971 4 RTP_Emulation.ttcn:548 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 10:13:43.893987 4 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:43.893990 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_mode : { } id 4 10:13:43.893999 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:43.894006 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_mode : { } id 1 10:13:43.894013 mtc RTP_Emulation.ttcn:212 Operation with id 4 was extracted from the queue of RTPEM[1]. 10:13:43.894020 mtc RTP_Emulation.ttcn:211 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_TXONLY (1) } 10:13:43.894057 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_TXONLY (1) } id 5 10:13:43.894096 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:43.894112 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:43.894121 3 RTP_Emulation.ttcn:525 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_TXONLY (1) with RTPEM_MODE_NONE (0) unmatched } 10:13:43.894131 3 RTP_Emulation.ttcn:531 Matching on port CTRL succeeded: { mode := RTPEM_MODE_TXONLY (1) with RTPEM_MODE_TXONLY (1) matched } 10:13:43.894140 3 RTP_Emulation.ttcn:531 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_TXONLY (1) } id 1 10:13:43.894148 3 RTP_Emulation.ttcn:531 Operation with id 5 was extracted from the queue of CTRL. 10:13:43.894168 3 RTP_Emulation.ttcn:533 Start timer T_transmit: 0.02 s 10:13:43.894188 3 RTP_Emulation.ttcn:536 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 10:13:43.894208 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_mode : { } id 5 10:13:43.894216 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[0] succeeded: { } with { } matched 10:13:43.894226 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_mode : { } id 1 10:13:43.894233 mtc RTP_Emulation.ttcn:212 Operation with id 5 was extracted from the queue of RTPEM[0]. 10:13:43.894279 mtc Osmocom_Types.ttcn:118 Start timer T: 0.5 s 10:13:43.914194 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:43.917211 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 0, time_stamp := '00000000000000000000000000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := 'E000DF99160051673C01270000820000001710000100'O } } } 10:13:43.917696 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060000000000000DEADBEEFE000DF99160051673C01270000820000001710000100'O } 10:13:43.918036 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:43.918666 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000009ED85F7EC182322CE4002400'O } id 1 10:13:43.918997 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 0, time_stamp := '10011110110110000101111101111110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := 'E4002400'O } } } id 1 10:13:43.919036 3 RTP_Emulation.ttcn:593 Matching on port RTP succeeded: matched 10:13:43.919045 3 RTP_Emulation.ttcn:593 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 0, time_stamp := '10011110110110000101111101111110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := 'E4002400'O } } } id 1 10:13:43.919052 3 RTP_Emulation.ttcn:593 Message with id 1 was extracted from the queue of RTP. 10:13:43.919148 3 IuUP_Emulation.ttcn:134 IuUP INIT_ACK Received 10:13:43.938090 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:43.938592 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 1, time_stamp := '00000000000000000000000010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:43.938667 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80600001000000A0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:43.938772 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:43.958836 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:43.959188 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 2, time_stamp := '00000000000000000000000101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:43.959267 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060000200000140DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:43.959375 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:43.979438 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:43.979789 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 3, time_stamp := '00000000000000000000000111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:43.979865 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80600003000001E0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:43.979971 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.000033 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.000386 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 4, time_stamp := '00000000000000000000001010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.000466 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060000400000280DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.000575 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.020638 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.020987 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 5, time_stamp := '00000000000000000000001100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.021068 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060000500000320DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.021174 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.041240 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.041588 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 6, time_stamp := '00000000000000000000001111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.041694 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80600006000003C0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.041801 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.061849 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.062108 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 7, time_stamp := '00000000000000000000010001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.062178 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060000700000460DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.062261 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.082334 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.082595 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 8, time_stamp := '00000000000000000000010100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.082666 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060000800000500DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.082754 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.102816 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.103169 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 9, time_stamp := '00000000000000000000010110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.103248 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80600009000005A0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.103353 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.123415 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.123765 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 10, time_stamp := '00000000000000000000011001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.123844 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060000A00000640DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.123952 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.144015 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.144367 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 11, time_stamp := '00000000000000000000011011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.144484 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060000B000006E0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.144590 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.164652 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.165008 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 12, time_stamp := '00000000000000000000011110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.165089 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060000C00000780DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.165198 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.185261 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.185614 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 13, time_stamp := '00000000000000000000100000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.185693 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060000D00000820DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.185800 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.205863 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.206221 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 14, time_stamp := '00000000000000000000100011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.206334 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060000E000008C0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.206443 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.226508 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.226865 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 15, time_stamp := '00000000000000000000100101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.226944 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060000F00000960DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.227050 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.247112 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.247465 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 16, time_stamp := '00000000000000000000101000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.247579 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001000000A00DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.247689 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.267751 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.268100 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 17, time_stamp := '00000000000000000000101010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.268180 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001100000AA0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.268286 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.288335 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.288600 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 18, time_stamp := '00000000000000000000101101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.288673 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001200000B40DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.288758 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.308821 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.309172 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 19, time_stamp := '00000000000000000000101111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.309251 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001300000BE0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.309355 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.329420 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.329773 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 20, time_stamp := '00000000000000000000110010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.329856 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001400000C80DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.329991 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.350054 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.350431 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 21, time_stamp := '00000000000000000000110100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.350512 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001500000D20DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.350618 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.370680 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.371035 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 22, time_stamp := '00000000000000000000110111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.371134 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001600000DC0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.371242 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.391305 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.391659 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 23, time_stamp := '00000000000000000000111001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.391737 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001700000E60DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.391845 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.394295 mtc Osmocom_Types.ttcn:119 Timeout T: 0.5 s 10:13:44.394568 mtc RTP_Emulation.ttcn:222 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:44.394785 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 6 10:13:44.394929 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:44.394958 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:44.394975 3 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:44.394990 3 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:44.395003 3 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:44.395017 3 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:44.395030 3 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:44.395045 3 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:44.395086 3 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:44.395150 3 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:44.395179 3 RTP_Emulation.ttcn:577 Operation with id 6 was extracted from the queue of CTRL. 10:13:44.395247 3 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 24, bytes_payload_tx := 744, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:44.395469 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 24, bytes_payload_tx := 744, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 6 10:13:44.395622 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[0] succeeded: { stats := matched } 10:13:44.395713 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 24, bytes_payload_tx := 744, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:44.395742 mtc RTP_Emulation.ttcn:223 Operation with id 6 was extracted from the queue of RTPEM[0]. 10:13:44.395798 mtc RTP_Emulation.ttcn:222 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:44.395996 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 5 10:13:44.396144 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:44.396195 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:44.396214 4 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:44.396229 4 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:44.396242 4 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:44.396256 4 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:44.396270 4 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:44.396285 4 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:44.396326 4 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:44.396364 4 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:44.396390 4 RTP_Emulation.ttcn:577 Operation with id 5 was extracted from the queue of CTRL. 10:13:44.396471 4 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 0, bytes_payload_tx := 0, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:44.396532 4 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:44.396667 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 0, bytes_payload_tx := 0, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 5 10:13:44.396782 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[1] succeeded: { stats := matched } 10:13:44.396816 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 0, bytes_payload_tx := 0, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:44.396845 mtc RTP_Emulation.ttcn:223 Operation with id 5 was extracted from the queue of RTPEM[1]. 10:13:44.396878 mtc RTP_Emulation.ttcn:211 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_TXONLY (1) } 10:13:44.397061 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_TXONLY (1) } id 6 10:13:44.397168 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:44.397192 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:44.397216 4 RTP_Emulation.ttcn:525 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_TXONLY (1) with RTPEM_MODE_NONE (0) unmatched } 10:13:44.397243 4 RTP_Emulation.ttcn:531 Matching on port CTRL succeeded: { mode := RTPEM_MODE_TXONLY (1) with RTPEM_MODE_TXONLY (1) matched } 10:13:44.397268 4 RTP_Emulation.ttcn:531 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_TXONLY (1) } id 1 10:13:44.397292 4 RTP_Emulation.ttcn:531 Operation with id 6 was extracted from the queue of CTRL. 10:13:44.397346 4 RTP_Emulation.ttcn:533 Start timer T_transmit: 0.02 s 10:13:44.397401 4 RTP_Emulation.ttcn:536 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 10:13:44.397572 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_mode : { } id 6 10:13:44.397681 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:44.397710 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_mode : { } id 1 10:13:44.397737 mtc RTP_Emulation.ttcn:212 Operation with id 6 was extracted from the queue of RTPEM[1]. 10:13:44.397762 mtc Osmocom_Types.ttcn:118 Start timer T: 1 s 10:13:44.411905 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.412258 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 24, time_stamp := '00000000000000000000111100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.412336 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001800000F00DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.412445 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.417439 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.418833 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 0, time_stamp := '00000000000000000000000000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.419395 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000000000000DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.419775 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.432510 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.432866 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 25, time_stamp := '00000000000000000000111110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.432946 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001900000FA0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.433053 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.439847 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.440142 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 1, time_stamp := '00000000000000000000000010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.440245 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700001000000A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.440355 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.453116 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.453469 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 26, time_stamp := '00000000000000000001000001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.453547 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001A00001040DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.453656 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.460421 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.460688 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 2, time_stamp := '00000000000000000000000101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.460774 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000200000140DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.460884 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.473720 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.474073 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 27, time_stamp := '00000000000000000001000011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.474154 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001B000010E0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.474262 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.480948 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.481213 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 3, time_stamp := '00000000000000000000000111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.481298 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700003000001E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.481407 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.494355 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.494738 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 28, time_stamp := '00000000000000000001000110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.494817 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001C00001180DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.494923 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.501472 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.501738 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 4, time_stamp := '00000000000000000000001010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.501825 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000400000280DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.501936 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.514985 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.515325 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 29, time_stamp := '00000000000000000001001000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.515406 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001D00001220DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.515501 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.522002 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.522269 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 5, time_stamp := '00000000000000000000001100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.522398 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000500000320DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.522540 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.535566 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.535914 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 30, time_stamp := '00000000000000000001001011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.535995 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001E000012C0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.536100 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.542595 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.542803 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 6, time_stamp := '00000000000000000000001111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.542880 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700006000003C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.542969 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.556164 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.556515 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 31, time_stamp := '00000000000000000001001101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.556594 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060001F00001360DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.556701 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.563032 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.563297 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 7, time_stamp := '00000000000000000000010001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.563383 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000700000460DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.563489 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.576764 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.577117 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 32, time_stamp := '00000000000000000001010000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.577194 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060002000001400DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.577335 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.583553 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.583822 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 8, time_stamp := '00000000000000000000010100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.583907 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000800000500DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.584018 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.597399 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.597750 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 33, time_stamp := '00000000000000000001010010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.597831 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80600021000014A0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.597938 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.604082 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.604340 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 9, time_stamp := '00000000000000000000010110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.604427 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700009000005A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.604532 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.618000 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.618384 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 34, time_stamp := '00000000000000000001010101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.618468 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060002200001540DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.618573 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.624598 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.624865 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 10, time_stamp := '00000000000000000000011001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.624950 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000A00000640DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.625086 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.638625 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.638914 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 35, time_stamp := '00000000000000000001010111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.638990 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80600023000015E0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.639077 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.645136 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.645335 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 11, time_stamp := '00000000000000000000011011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.645410 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000B000006E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.645496 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.659139 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.659497 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 36, time_stamp := '00000000000000000001011010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.659576 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060002400001680DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.659686 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.665561 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.665828 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 12, time_stamp := '00000000000000000000011110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.665914 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000C00000780DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.666023 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.679750 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.680101 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 37, time_stamp := '00000000000000000001011100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.680214 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060002500001720DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.680321 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.686087 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.686393 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 13, time_stamp := '00000000000000000000100000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.686482 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000D00000820DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.686588 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.700385 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.700747 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 38, time_stamp := '00000000000000000001011111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.700826 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80600026000017C0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.700934 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.706641 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.706851 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 14, time_stamp := '00000000000000000000100011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.706928 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000E000008C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.707016 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.720998 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.721350 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 39, time_stamp := '00000000000000000001100001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.721445 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060002700001860DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.721575 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.727067 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.727281 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 15, time_stamp := '00000000000000000000100101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.727391 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000F00000960DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.727480 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.741635 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.741897 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 40, time_stamp := '00000000000000000001100100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.741967 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060002800001900DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.742051 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.747531 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.747732 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 16, time_stamp := '00000000000000000000101000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.747808 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001000000A00DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.747893 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.762112 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.762502 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 41, time_stamp := '00000000000000000001100110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.762583 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80600029000019A0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.762688 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.767958 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.768225 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 17, time_stamp := '00000000000000000000101010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.768309 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001100000AA0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.768416 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.782750 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.783108 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 42, time_stamp := '00000000000000000001101001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.783216 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060002A00001A40DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.783322 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.788468 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.788677 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 18, time_stamp := '00000000000000000000101101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.788756 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001200000B40DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.788848 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.803385 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.803735 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 43, time_stamp := '00000000000000000001101011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.803816 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060002B00001AE0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.803924 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.808901 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.809114 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 19, time_stamp := '00000000000000000000101111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.809192 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001300000BE0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.809280 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.823987 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.824345 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 44, time_stamp := '00000000000000000001101110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.824423 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060002C00001B80DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.824534 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.829334 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.829549 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 20, time_stamp := '00000000000000000000110010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.829654 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001400000C80DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.829745 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.844599 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.844967 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 45, time_stamp := '00000000000000000001110000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.845060 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060002D00001C20DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.845165 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.849796 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.850005 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 21, time_stamp := '00000000000000000000110100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.850086 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001500000D20DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.850175 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.865230 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.865579 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 46, time_stamp := '00000000000000000001110011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.865657 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060002E00001CC0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.865764 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.870227 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.870468 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 22, time_stamp := '00000000000000000000110111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.870547 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001600000DC0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.870641 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.885826 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.886179 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 47, time_stamp := '00000000000000000001110101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.886296 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060002F00001D60DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.886438 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.890694 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.890912 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 23, time_stamp := '00000000000000000000111001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.891008 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001700000E60DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.891105 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.906503 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.906855 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 48, time_stamp := '00000000000000000001111000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.906936 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003000001E00DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.907044 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.911157 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.911373 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 24, time_stamp := '00000000000000000000111100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.911453 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001800000F00DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.911551 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.927105 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.927453 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 49, time_stamp := '00000000000000000001111010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.927535 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003100001EA0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.927641 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.931603 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.931806 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 25, time_stamp := '00000000000000000000111110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.931907 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001900000FA0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.932002 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.947688 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.947952 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 50, time_stamp := '00000000000000000001111101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.948023 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003200001F40DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.948115 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.952051 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.952239 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 26, time_stamp := '00000000000000000001000001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.952313 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001A00001040DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.952388 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.968178 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.968534 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 51, time_stamp := '00000000000000000001111111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.968614 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003300001FE0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.968752 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.972441 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.972646 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 27, time_stamp := '00000000000000000001000011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.972726 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001B000010E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.972818 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.988800 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.989063 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 52, time_stamp := '00000000000000000010000010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:44.989133 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003400002080DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:44.989217 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:44.992868 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:44.993071 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 28, time_stamp := '00000000000000000001000110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:44.993146 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001C00001180DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:44.993232 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.009264 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.009514 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 53, time_stamp := '00000000000000000010000100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.009586 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003500002120DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.009671 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.013281 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.013485 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 29, time_stamp := '00000000000000000001001000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.013562 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001D00001220DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.013647 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.029733 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.030089 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 54, time_stamp := '00000000000000000010000111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.030167 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80600036000021C0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.030275 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.033701 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.033945 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 30, time_stamp := '00000000000000000001001011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.034025 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001E000012C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.034115 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.050355 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.050617 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 55, time_stamp := '00000000000000000010001001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.050690 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003700002260DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.050774 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.054179 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.054480 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 31, time_stamp := '00000000000000000001001101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.054569 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001F00001360DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.054672 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.070836 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.071173 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 56, time_stamp := '00000000000000000010001100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.071280 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003800002300DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.071375 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.074725 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.074938 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 32, time_stamp := '00000000000000000001010000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.075017 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002000001400DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.075106 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.091421 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.091644 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 57, time_stamp := '00000000000000000010001110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.091703 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80600039000023A0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.091774 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.095148 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.095278 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 33, time_stamp := '00000000000000000001010010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.095329 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700021000014A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.095386 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.111812 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.111989 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 58, time_stamp := '00000000000000000010010001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.112045 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003A00002440DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.112104 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.115423 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.115607 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 34, time_stamp := '00000000000000000001010101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.115682 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002200001540DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.115782 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.132163 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.132512 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 59, time_stamp := '00000000000000000010010011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.132591 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003B000024E0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.132700 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.135833 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.136049 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 35, time_stamp := '00000000000000000001010111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.136127 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700023000015E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.136228 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.152764 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.153116 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 60, time_stamp := '00000000000000000010010110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.153196 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003C00002580DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.153302 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.156280 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.156494 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 36, time_stamp := '00000000000000000001011010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.156571 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002400001680DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.156670 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.173365 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.173717 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 61, time_stamp := '00000000000000000010011000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.173835 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003D00002620DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.173945 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.176724 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.176941 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 37, time_stamp := '00000000000000000001011100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.177022 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002500001720DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.177121 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.194009 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.194396 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 62, time_stamp := '00000000000000000010011011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.194477 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003E000026C0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.194582 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.197174 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.197395 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 38, time_stamp := '00000000000000000001011111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.197473 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700026000017C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.197574 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.214645 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.215000 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 63, time_stamp := '00000000000000000010011101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.215081 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060003F00002760DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.215186 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.217625 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.217846 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 39, time_stamp := '00000000000000000001100001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.217924 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002700001860DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.218060 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.235250 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.235607 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 64, time_stamp := '00000000000000000010100000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.235686 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060004000002800DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.235791 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.238112 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.238354 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 40, time_stamp := '00000000000000000001100100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.238434 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002800001900DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.238532 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.255838 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.256100 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 65, time_stamp := '00000000000000000010100010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.256172 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80600041000028A0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.256258 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.258582 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.258782 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 41, time_stamp := '00000000000000000001100110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.258858 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700029000019A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.258945 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.276305 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.276567 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 66, time_stamp := '00000000000000000010100101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.276667 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060004200002940DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.276752 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.278995 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.279195 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 42, time_stamp := '00000000000000000001101001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.279272 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002A00001A40DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.279359 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.296818 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.297167 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 67, time_stamp := '00000000000000000010100111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.297248 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80600043000029E0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.297358 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.299413 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.299619 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 43, time_stamp := '00000000000000000001101011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.299697 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002B00001AE0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.299782 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.317421 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.317778 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 68, time_stamp := '00000000000000000010101010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.317859 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060004400002A80DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.317966 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.319835 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.320043 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 44, time_stamp := '00000000000000000001101110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.320153 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002C00001B80DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.320239 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.338029 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.338409 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 69, time_stamp := '00000000000000000010101100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.338492 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060004500002B20DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.338598 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.340293 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.340500 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 45, time_stamp := '00000000000000000001110000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.340576 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002D00001C20DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.340662 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.358660 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.359013 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 70, time_stamp := '00000000000000000010101111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.359092 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060004600002BC0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.359197 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.360715 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.360927 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 46, time_stamp := '00000000000000000001110011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.361005 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002E00001CC0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.361095 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.379285 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.379637 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 71, time_stamp := '00000000000000000010110001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.379717 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060004700002C60DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.379824 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.381124 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.381196 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 47, time_stamp := '00000000000000000001110101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.381246 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002F00001D60DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.381290 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.397941 mtc Osmocom_Types.ttcn:119 Timeout T: 1 s 10:13:45.398131 mtc RTP_Emulation.ttcn:222 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:45.398392 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 7 10:13:45.398509 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:45.398538 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:45.398556 3 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.398571 3 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.398585 3 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.398599 3 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.398612 3 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.398628 3 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:45.398646 3 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:45.398669 3 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:45.398693 3 RTP_Emulation.ttcn:577 Operation with id 7 was extracted from the queue of CTRL. 10:13:45.398734 3 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 72, bytes_payload_tx := 2232, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:45.398920 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 72, bytes_payload_tx := 2232, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 7 10:13:45.399038 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[0] succeeded: { stats := matched } 10:13:45.399073 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 72, bytes_payload_tx := 2232, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:45.399132 mtc RTP_Emulation.ttcn:223 Operation with id 7 was extracted from the queue of RTPEM[0]. 10:13:45.399165 mtc RTP_Emulation.ttcn:222 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:45.399334 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 7 10:13:45.399449 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:45.399474 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:45.399491 4 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.399506 4 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.399521 4 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.399536 4 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.399551 4 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.399589 4 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:45.399607 4 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:45.399630 4 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:45.399654 4 RTP_Emulation.ttcn:577 Operation with id 7 was extracted from the queue of CTRL. 10:13:45.399695 4 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 48, bytes_payload_tx := 1536, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:45.399866 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.399905 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 48, bytes_payload_tx := 1536, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 7 10:13:45.400051 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[1] succeeded: { stats := matched } 10:13:45.400077 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 72, time_stamp := '00000000000000000010110100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.400086 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 48, bytes_payload_tx := 1536, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:45.400119 mtc RTP_Emulation.ttcn:223 Operation with id 7 was extracted from the queue of RTPEM[1]. 10:13:45.400143 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8060004800002D00DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.400155 mtc RTP_Emulation.ttcn:211 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_BIDIR (3) } 10:13:45.400236 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.400278 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_BIDIR (3) } id 8 10:13:45.400301 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:45.400328 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:45.400348 3 RTP_Emulation.ttcn:525 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_NONE (0) unmatched } 10:13:45.400376 3 RTP_Emulation.ttcn:531 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_TXONLY (1) unmatched } 10:13:45.400395 3 RTP_Emulation.ttcn:538 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_RXONLY (2) unmatched } 10:13:45.400410 3 RTP_Emulation.ttcn:550 Matching on port CTRL succeeded: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_BIDIR (3) matched } 10:13:45.400431 3 RTP_Emulation.ttcn:550 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_BIDIR (3) } id 1 10:13:45.400449 3 RTP_Emulation.ttcn:550 Operation with id 8 was extracted from the queue of CTRL. 10:13:45.400465 3 RTP_Emulation.ttcn:551 Warning: Re-starting timer T_transmit, which is already active (running or expired). 10:13:45.400497 3 RTP_Emulation.ttcn:551 Start timer T_transmit: 0.02 s 10:13:45.400515 3 RTP_Emulation.ttcn:554 Port RTP was cleared. 10:13:45.400533 3 RTP_Emulation.ttcn:555 Port RTCP was cleared. 10:13:45.400550 3 RTP_Emulation.ttcn:559 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 10:13:45.400717 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_mode : { } id 8 10:13:45.400828 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[0] succeeded: { } with { } matched 10:13:45.400856 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_mode : { } id 1 10:13:45.400882 mtc RTP_Emulation.ttcn:212 Operation with id 8 was extracted from the queue of RTPEM[0]. 10:13:45.400912 mtc RTP_Emulation.ttcn:222 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:45.401315 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.401384 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 48, time_stamp := '00000000000000000001111000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.401435 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003000001E00DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.401483 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.401518 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 8 10:13:45.401539 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:45.401555 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:45.401570 4 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.401584 4 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.401598 4 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.401612 4 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.401626 4 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.401639 4 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:45.401654 4 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:45.401673 4 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:45.401691 4 RTP_Emulation.ttcn:577 Operation with id 8 was extracted from the queue of CTRL. 10:13:45.401721 4 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 49, bytes_payload_tx := 1568, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:45.401899 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 49, bytes_payload_tx := 1568, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 8 10:13:45.402013 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[1] succeeded: { stats := matched } 10:13:45.402048 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 49, bytes_payload_tx := 1568, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:45.402078 mtc RTP_Emulation.ttcn:223 Operation with id 8 was extracted from the queue of RTPEM[1]. 10:13:45.402134 mtc RTP_Emulation.ttcn:201 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 10000 } 10:13:45.402351 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 10000 } id 9 10:13:45.402455 3 RTP_Emulation.ttcn:460 Matching on port CTRL succeeded: { local_host := "127.0.0.1" with ? matched, local_port := 10000 with ? matched } 10:13:45.402493 3 RTP_Emulation.ttcn:460 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 10000 } id 1 10:13:45.402517 3 RTP_Emulation.ttcn:460 Operation with id 9 was extracted from the queue of CTRL. 10:13:45.402966 3 RTP_Emulation.ttcn:473 entering f__IPL4__PROVIDER__listen: 127.0.0.1:10000 / UDP 10:13:45.403094 3 RTP_Emulation.ttcn:486 entering f__IPL4__PROVIDER__listen: 127.0.0.1:10001 / UDP 10:13:45.403140 3 RTP_Emulation.ttcn:494 Replied on CTRL to mtc @RTP_Emulation.RTPEM_bind : { local_port := 10000 } 10:13:45.403303 mtc RTP_Emulation.ttcn:201 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_bind : { local_port := 10000 } id 9 10:13:45.403416 mtc RTP_Emulation.ttcn:202 Matching on port RTPEM[0] succeeded: { local_port := 10000 with ? matched } 10:13:45.403450 mtc RTP_Emulation.ttcn:202 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_bind : { local_port := 10000 } id 1 10:13:45.403479 mtc RTP_Emulation.ttcn:202 Operation with id 9 was extracted from the queue of RTPEM[0]. 10:13:45.403608 mtc RTP_Emulation.ttcn:216 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 96, fixed_payload := '4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } }, rx_payloads := { { payload_type := 96, fixed_payload := '08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } }, iuup_mode := true, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } 10:13:45.403884 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 96, fixed_payload := '4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } }, rx_payloads := { { payload_type := 96, fixed_payload := '08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } }, iuup_mode := true, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 10 10:13:45.404001 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:45.404027 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:45.404044 3 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.404058 3 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.404073 3 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.404086 3 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.404121 3 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.404140 3 RTP_Emulation.ttcn:572 Matching on port CTRL succeeded: { cfg := matched } 10:13:45.404184 3 RTP_Emulation.ttcn:572 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 96, fixed_payload := '4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } }, rx_payloads := { { payload_type := 96, fixed_payload := '08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } }, iuup_mode := true, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 1 10:13:45.404214 3 RTP_Emulation.ttcn:572 Operation with id 10 was extracted from the queue of CTRL. 10:13:45.404319 3 RTP_Emulation.ttcn:575 Replied on CTRL to mtc @RTP_Emulation.RTPEM_configure : { } 10:13:45.404483 mtc RTP_Emulation.ttcn:216 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_configure : { } id 10 10:13:45.404622 mtc RTP_Emulation.ttcn:217 Matching on port RTPEM[0] succeeded: { } with { } matched 10:13:45.404652 mtc RTP_Emulation.ttcn:217 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_configure : { } id 1 10:13:45.404679 mtc RTP_Emulation.ttcn:217 Operation with id 10 was extracted from the queue of RTPEM[0]. 10:13:45.405274 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "MDCX", trans_id := "46251", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { { code := "M", val := "sendrecv" }, { code := "C", val := "1227" }, { code := "I", val := "FFD8E5A9" }, { code := "L", val := "p:20, a:AMR" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "23", session_version := "42", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 10000, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "96" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "96 VND.3GPP.IUFP/16000" } }, { ptime := { attr_value := "20" } } } } } } } } } 10:13:45.405425 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '4D444358203436323531207274706272696467652F32406D6777204D47435020312E300D0A4D3A2073656E64726563760D0A433A20313232370D0A493A2046464438453541390D0A4C3A20703A32302C20613A414D520D0A0D0A763D300D0A6F3D2D20323320343220494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F203130303030205254502F4156502039360D0A613D7274706D61703A393620564E442E334750502E495546502F31363030300D0A613D7074696D653A32300D0A'O ("MDCX 46251 rtpbridge/2@mgw MGCP 1.0\r M: sendrecv\r C: 1227\r I: FFD8E5A9\r L: p:20, a:AMR\r \r v=0\r o=- 23 42 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 10000 RTP/AVP 96\r a=rtpmap:96 VND.3GPP.IUFP/16000\r a=ptime:20\r ") } 10:13:45.405537 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 10:13:45.406666 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '323030203436323531204F4B0D0A0D0A763D300D0A6F3D2D20464644384535413920323320494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F2034303032205254502F4156502039360D0A613D7274706D61703A393620564E442E334750502E495546502F31363030300D0A613D7074696D653A32300D0A'O ("200 46251 OK\r \r v=0\r o=- FFD8E5A9 23 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 4002 RTP/AVP 96\r a=rtpmap:96 VND.3GPP.IUFP/16000\r a=ptime:20\r ") } id 4 10:13:45.407191 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46251", string := "OK" }, params := { }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "FFD8E5A9", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4002, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "96" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "96 VND.3GPP.IUFP/16000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 4 10:13:45.407389 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 10:13:45.407489 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46251", string := "OK" }, params := { }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "FFD8E5A9", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4002, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "96" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "96 VND.3GPP.IUFP/16000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 4 10:13:45.407560 mtc MGCP_Test.ttcn:273 Message with id 4 was extracted from the queue of MGCP. 10:13:45.407604 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 10:13:45.407776 mtc RTP_Emulation.ttcn:206 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4002 } 10:13:45.407991 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4002 } id 11 10:13:45.408094 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:45.408120 3 RTP_Emulation.ttcn:496 Matching on port CTRL succeeded: { remote_host := "127.0.0.1" with ? matched, remote_port := 4002 with ? matched } 10:13:45.408151 3 RTP_Emulation.ttcn:496 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4002 } id 1 10:13:45.408175 3 RTP_Emulation.ttcn:496 Operation with id 11 was extracted from the queue of CTRL. 10:13:45.408202 3 RTP_Emulation.ttcn:502 entering f__IPL4__PROVIDER__connect: 127.0.0.1:10000 -> 127.0.0.1:4002 / UDP 10:13:45.408256 3 RTP_Emulation.ttcn:510 entering f__IPL4__PROVIDER__connect: 127.0.0.1:10001 -> 127.0.0.1:4003 / UDP 10:13:45.408283 3 RTP_Emulation.ttcn:523 Replied on CTRL to mtc @RTP_Emulation.RTPEM_connect : { } 10:13:45.408481 mtc RTP_Emulation.ttcn:206 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_connect : { } id 11 10:13:45.408615 mtc RTP_Emulation.ttcn:207 Matching on port RTPEM[0] succeeded: { } with { } matched 10:13:45.408664 mtc RTP_Emulation.ttcn:207 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_connect : { } id 1 10:13:45.408709 mtc RTP_Emulation.ttcn:207 Operation with id 11 was extracted from the queue of RTPEM[0]. 10:13:45.408818 mtc Osmocom_Types.ttcn:118 Start timer T: 0.5 s 10:13:45.420554 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.420829 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 73, time_stamp := '00000000000000000010110110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.420903 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060004900002DA0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.420989 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.421527 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.421724 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 49, time_stamp := '00000000000000000001111010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.421827 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003100001EA0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.421916 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.422479 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000019ED8BD7EC182322C0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 2 10:13:45.422694 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 1, time_stamp := '10011110110110001011110101111110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 2 10:13:45.422822 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.422896 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 1, time_stamp := '10011110110110001011110101111110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 2 10:13:45.422950 3 RTP_Emulation.ttcn:614 Message with id 2 was extracted from the queue of RTP. 10:13:45.441056 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.441448 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 74, time_stamp := '00000000000000000010111001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.441566 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060004A00002E40DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.441716 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.441987 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.442266 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 50, time_stamp := '00000000000000000001111101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.442388 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003200001F40DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.442485 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.442959 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000029ED8BEC7C182322C024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 3 10:13:45.443117 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 2, time_stamp := '10011110110110001011111011000111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 3 10:13:45.443213 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.443284 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 2, time_stamp := '10011110110110001011111011000111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 3 10:13:45.443338 3 RTP_Emulation.ttcn:614 Message with id 3 was extracted from the queue of RTP. 10:13:45.461813 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.462209 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 75, time_stamp := '00000000000000000010111011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.462376 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060004B00002EE0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.462556 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.462573 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.462861 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 51, time_stamp := '00000000000000000001111111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.462955 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003300001FE0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.463055 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.463566 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000039ED8C010C182322C0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 4 10:13:45.463755 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 3, time_stamp := '10011110110110001100000000010000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 4 10:13:45.463882 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.463955 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 3, time_stamp := '10011110110110001100000000010000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 4 10:13:45.464009 3 RTP_Emulation.ttcn:614 Message with id 4 was extracted from the queue of RTP. 10:13:45.482664 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.482995 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 76, time_stamp := '00000000000000000010111110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.483075 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060004C00002F80DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.483104 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.483174 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.483303 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 52, time_stamp := '00000000000000000010000010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.483377 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003400002080DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.483467 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.483801 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000049ED8C154C182322C0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 5 10:13:45.483967 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 4, time_stamp := '10011110110110001100000101010100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 5 10:13:45.484042 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.484086 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 4, time_stamp := '10011110110110001100000101010100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 5 10:13:45.484140 3 RTP_Emulation.ttcn:614 Message with id 5 was extracted from the queue of RTP. 10:13:45.503246 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.503536 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.503653 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 77, time_stamp := '00000000000000000011000000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.503784 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060004D00003020DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.503831 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 53, time_stamp := '00000000000000000010000100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.503921 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003500002120DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.503943 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.504038 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.504621 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000059ED8C2A0C182322C054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 6 10:13:45.504821 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 5, time_stamp := '10011110110110001100001010100000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 6 10:13:45.504927 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.504998 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 5, time_stamp := '10011110110110001100001010100000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 6 10:13:45.505050 3 RTP_Emulation.ttcn:614 Message with id 6 was extracted from the queue of RTP. 10:13:45.524040 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.524111 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.524399 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 54, time_stamp := '00000000000000000010000111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.524455 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 78, time_stamp := '00000000000000000011000011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.524518 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700036000021C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.524581 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060004E000030C0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.524630 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.524733 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.525309 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000069ED8C3EAC182322C0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 7 10:13:45.525549 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 6, time_stamp := '10011110110110001100001111101010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 7 10:13:45.525660 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.525731 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 6, time_stamp := '10011110110110001100001111101010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 7 10:13:45.525804 3 RTP_Emulation.ttcn:614 Message with id 7 was extracted from the queue of RTP. 10:13:45.544683 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.544814 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.544880 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 55, time_stamp := '00000000000000000010001001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.544955 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003700002260DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.545044 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.545143 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 79, time_stamp := '00000000000000000011000101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.545254 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060004F00003160DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.545374 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.545510 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000079ED8C530C182322C07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 8 10:13:45.545621 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 7, time_stamp := '10011110110110001100010100110000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 8 10:13:45.545706 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.545776 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 7, time_stamp := '10011110110110001100010100110000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 8 10:13:45.545828 3 RTP_Emulation.ttcn:614 Message with id 8 was extracted from the queue of RTP. 10:13:45.565111 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.565380 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 56, time_stamp := '00000000000000000010001100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.565465 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.565467 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003800002300DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.565584 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.565875 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 80, time_stamp := '00000000000000000011001000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.565986 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060005000003200DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.566114 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.566252 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000089ED8C679C182322C084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 9 10:13:45.566576 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 8, time_stamp := '10011110110110001100011001111001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 9 10:13:45.566754 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.566805 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 8, time_stamp := '10011110110110001100011001111001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 9 10:13:45.566839 3 RTP_Emulation.ttcn:614 Message with id 9 was extracted from the queue of RTP. 10:13:45.585648 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.585915 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 57, time_stamp := '00000000000000000010001110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.586002 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700039000023A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.586116 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.586205 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.586538 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 81, time_stamp := '00000000000000000011001010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.586612 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80600051000032A0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.586698 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.586783 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000099ED8C7C2C182322C094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 10 10:13:45.586855 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 9, time_stamp := '10011110110110001100011111000010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 10 10:13:45.586933 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.586974 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 9, time_stamp := '10011110110110001100011111000010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 10 10:13:45.587004 3 RTP_Emulation.ttcn:614 Message with id 10 was extracted from the queue of RTP. 10:13:45.606182 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.606470 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 58, time_stamp := '00000000000000000010010001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.606558 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003A00002440DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.606669 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.606762 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.607057 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 82, time_stamp := '00000000000000000011001101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.607130 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060005200003340DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.607221 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.607307 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060000A9ED8C90AC182322C0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 11 10:13:45.607376 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 10, time_stamp := '10011110110110001100100100001010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 11 10:13:45.607420 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.607460 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 10, time_stamp := '10011110110110001100100100001010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 11 10:13:45.607490 3 RTP_Emulation.ttcn:614 Message with id 11 was extracted from the queue of RTP. 10:13:45.626735 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.626973 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 59, time_stamp := '00000000000000000010010011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.627058 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003B000024E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.627166 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.627275 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.627574 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 83, time_stamp := '00000000000000000011001111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.627671 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80600053000033E0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.627761 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.627848 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060000B9ED8CA52C182322C0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 12 10:13:45.627918 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 11, time_stamp := '10011110110110001100101001010010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 12 10:13:45.627963 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.628003 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 11, time_stamp := '10011110110110001100101001010010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 12 10:13:45.628032 3 RTP_Emulation.ttcn:614 Message with id 12 was extracted from the queue of RTP. 10:13:45.647214 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.647409 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 60, time_stamp := '00000000000000000010010110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.647483 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003C00002580DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.647568 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.647809 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.648073 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 84, time_stamp := '00000000000000000011010010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.648142 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060005400003480DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.648225 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.648303 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060000C9ED8CB98C182322C0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 13 10:13:45.648371 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 12, time_stamp := '10011110110110001100101110011000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 13 10:13:45.648413 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.648452 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 12, time_stamp := '10011110110110001100101110011000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 13 10:13:45.648503 3 RTP_Emulation.ttcn:614 Message with id 13 was extracted from the queue of RTP. 10:13:45.667631 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.667897 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 61, time_stamp := '00000000000000000010011000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.667984 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003D00002620DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.668093 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.668278 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.668576 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 85, time_stamp := '00000000000000000011010100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.668646 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060005500003520DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.668733 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.668817 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060000D9ED8CCE1C182322C0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 14 10:13:45.668887 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 13, time_stamp := '10011110110110001100110011100001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 14 10:13:45.668931 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.668970 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 13, time_stamp := '10011110110110001100110011100001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 14 10:13:45.669020 3 RTP_Emulation.ttcn:614 Message with id 14 was extracted from the queue of RTP. 10:13:45.688158 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.688426 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 62, time_stamp := '00000000000000000010011011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.688513 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003E000026C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.688622 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.688792 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.689085 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 86, time_stamp := '00000000000000000011010111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.689157 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80600056000035C0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.689245 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.689330 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060000E9ED8CE2AC182322C0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 15 10:13:45.689426 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 14, time_stamp := '10011110110110001100111000101010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 15 10:13:45.689471 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.689510 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 14, time_stamp := '10011110110110001100111000101010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 15 10:13:45.689540 3 RTP_Emulation.ttcn:614 Message with id 15 was extracted from the queue of RTP. 10:13:45.708686 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.708953 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 63, time_stamp := '00000000000000000010011101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.709039 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070003F00002760DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.709185 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.709302 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.709598 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 87, time_stamp := '00000000000000000011011001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.709671 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060005700003660DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.709762 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.709847 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060000F9ED8CF73C182322C0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 16 10:13:45.709917 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 15, time_stamp := '10011110110110001100111101110011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 16 10:13:45.709961 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.710001 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 15, time_stamp := '10011110110110001100111101110011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 16 10:13:45.710053 3 RTP_Emulation.ttcn:614 Message with id 16 was extracted from the queue of RTP. 10:13:45.729250 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.729517 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 64, time_stamp := '00000000000000000010100000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.729603 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070004000002800DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.729714 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.729823 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.730119 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 88, time_stamp := '00000000000000000011011100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.730192 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060005800003700DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.730282 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.730405 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000109ED8D0BBC182322C00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 17 10:13:45.730477 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 16, time_stamp := '10011110110110001101000010111011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 17 10:13:45.730522 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.730563 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 16, time_stamp := '10011110110110001101000010111011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 17 10:13:45.730593 3 RTP_Emulation.ttcn:614 Message with id 17 was extracted from the queue of RTP. 10:13:45.749763 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.749957 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 65, time_stamp := '00000000000000000010100010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.750032 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700041000028A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.750117 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.750368 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.750632 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 89, time_stamp := '00000000000000000011011110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.750702 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80600059000037A0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.750786 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.750866 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000119ED8D201C182322C0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 18 10:13:45.750934 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 17, time_stamp := '10011110110110001101001000000001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 18 10:13:45.751205 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.751247 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 17, time_stamp := '10011110110110001101001000000001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 18 10:13:45.751277 3 RTP_Emulation.ttcn:614 Message with id 18 was extracted from the queue of RTP. 10:13:45.770166 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.770386 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 66, time_stamp := '00000000000000000010100101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.770464 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070004200002940DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.770548 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.770835 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.771098 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 90, time_stamp := '00000000000000000011100001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.771169 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060005A00003840DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.771253 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.771332 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000129ED8D348C182322C024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 19 10:13:45.771399 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 18, time_stamp := '10011110110110001101001101001000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 19 10:13:45.771442 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.771479 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 18, time_stamp := '10011110110110001101001101001000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 19 10:13:45.771508 3 RTP_Emulation.ttcn:614 Message with id 19 was extracted from the queue of RTP. 10:13:45.790613 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.790876 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 67, time_stamp := '00000000000000000010100111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.790964 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700043000029E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.791073 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.791307 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.791606 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 91, time_stamp := '00000000000000000011100011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.791705 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060005B000038E0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.791794 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.791880 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000139ED8D491C182322C0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 20 10:13:45.791949 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 19, time_stamp := '10011110110110001101010010010001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 20 10:13:45.791993 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.792033 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 19, time_stamp := '10011110110110001101010010010001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 20 10:13:45.792063 3 RTP_Emulation.ttcn:614 Message with id 20 was extracted from the queue of RTP. 10:13:45.811138 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.811399 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 68, time_stamp := '00000000000000000010101010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.811485 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070004400002A80DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.811627 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.811846 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.812144 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 92, time_stamp := '00000000000000000011100110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.812216 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060005C00003980DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.812307 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.812393 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000149ED8D5DAC182322C0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 21 10:13:45.812462 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 20, time_stamp := '10011110110110001101010111011010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 21 10:13:45.812542 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.812583 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 20, time_stamp := '10011110110110001101010111011010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 21 10:13:45.812613 3 RTP_Emulation.ttcn:614 Message with id 21 was extracted from the queue of RTP. 10:13:45.831670 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.831784 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 69, time_stamp := '00000000000000000010101100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.831827 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070004500002B20DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.831876 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.832344 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.832494 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 93, time_stamp := '00000000000000000011101000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.832531 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060005D00003A20DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.832580 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.832626 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000159ED8D71BC182322C054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 22 10:13:45.832663 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 21, time_stamp := '10011110110110001101011100011011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 22 10:13:45.832686 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.832708 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 21, time_stamp := '10011110110110001101011100011011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 22 10:13:45.832723 3 RTP_Emulation.ttcn:614 Message with id 22 was extracted from the queue of RTP. 10:13:45.851911 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.852052 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 70, time_stamp := '00000000000000000010101111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.852102 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070004600002BC0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.852160 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.852599 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.852714 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 94, time_stamp := '00000000000000000011101011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.852750 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060005E00003AC0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.852817 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.852864 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000169ED8D85FC182322C0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 23 10:13:45.852906 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 22, time_stamp := '10011110110110001101100001011111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 23 10:13:45.852931 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.852956 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 22, time_stamp := '10011110110110001101100001011111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 23 10:13:45.852974 3 RTP_Emulation.ttcn:614 Message with id 23 was extracted from the queue of RTP. 10:13:45.872203 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.872395 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 71, time_stamp := '00000000000000000010110001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.872476 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070004700002C60DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.872558 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.872860 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.873133 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 95, time_stamp := '00000000000000000011101101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.873203 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060005F00003B60DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.873288 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.873368 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000179ED8D9A7C182322C07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 24 10:13:45.873436 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 23, time_stamp := '10011110110110001101100110100111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 24 10:13:45.873477 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.873516 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 23, time_stamp := '10011110110110001101100110100111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 24 10:13:45.873574 3 RTP_Emulation.ttcn:614 Message with id 24 was extracted from the queue of RTP. 10:13:45.892590 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.892667 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 72, time_stamp := '00000000000000000010110100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.892719 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070004800002D00DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.892768 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.893336 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.893597 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 96, time_stamp := '00000000000000000011110000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.893666 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006000003C00DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.893750 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.893829 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000189ED8DAE9C182322C084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 25 10:13:45.893896 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 24, time_stamp := '10011110110110001101101011101001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 25 10:13:45.893938 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.893977 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 24, time_stamp := '10011110110110001101101011101001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 25 10:13:45.894005 3 RTP_Emulation.ttcn:614 Message with id 25 was extracted from the queue of RTP. 10:13:45.908875 mtc Osmocom_Types.ttcn:119 Timeout T: 0.5 s 10:13:45.908987 mtc RTP_Emulation.ttcn:222 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:45.909158 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 12 10:13:45.909266 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:45.909292 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:45.909309 3 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.909324 3 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.909337 3 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.909351 3 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.909364 3 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.909412 3 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:45.909430 3 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:45.909453 3 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:45.909479 3 RTP_Emulation.ttcn:577 Operation with id 12 was extracted from the queue of CTRL. 10:13:45.909520 3 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 97, bytes_payload_tx := 3007, num_pkts_rx := 24, bytes_payload_rx := 840, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:45.909704 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 97, bytes_payload_tx := 3007, num_pkts_rx := 24, bytes_payload_rx := 840, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 12 10:13:45.909821 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[0] succeeded: { stats := matched } 10:13:45.909857 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 97, bytes_payload_tx := 3007, num_pkts_rx := 24, bytes_payload_rx := 840, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:45.909887 mtc RTP_Emulation.ttcn:223 Operation with id 12 was extracted from the queue of RTPEM[0]. 10:13:45.909942 mtc RTP_Emulation.ttcn:222 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:45.910052 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 9 10:13:45.910152 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:45.910176 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:45.910215 4 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.910231 4 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.910247 4 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.910261 4 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.910276 4 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.910292 4 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:45.910341 4 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:45.910364 4 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:45.910390 4 RTP_Emulation.ttcn:577 Operation with id 9 was extracted from the queue of CTRL. 10:13:45.910431 4 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 73, bytes_payload_tx := 2336, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:45.910606 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 73, bytes_payload_tx := 2336, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 9 10:13:45.910724 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[1] succeeded: { stats := matched } 10:13:45.910760 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 73, bytes_payload_tx := 2336, num_pkts_rx := 0, bytes_payload_rx := 0, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:45.910790 mtc RTP_Emulation.ttcn:223 Operation with id 9 was extracted from the queue of RTPEM[1]. 10:13:45.910822 mtc RTP_Emulation.ttcn:211 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_BIDIR (3) } 10:13:45.910996 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_BIDIR (3) } id 10 10:13:45.911102 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:45.911127 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:45.911151 4 RTP_Emulation.ttcn:525 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_NONE (0) unmatched } 10:13:45.911181 4 RTP_Emulation.ttcn:531 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_TXONLY (1) unmatched } 10:13:45.911202 4 RTP_Emulation.ttcn:538 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_RXONLY (2) unmatched } 10:13:45.911220 4 RTP_Emulation.ttcn:550 Matching on port CTRL succeeded: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_BIDIR (3) matched } 10:13:45.911244 4 RTP_Emulation.ttcn:550 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_BIDIR (3) } id 1 10:13:45.911268 4 RTP_Emulation.ttcn:550 Operation with id 10 was extracted from the queue of CTRL. 10:13:45.911287 4 RTP_Emulation.ttcn:551 Warning: Re-starting timer T_transmit, which is already active (running or expired). 10:13:45.911330 4 RTP_Emulation.ttcn:551 Start timer T_transmit: 0.02 s 10:13:45.911360 4 RTP_Emulation.ttcn:554 Port RTP was cleared. 10:13:45.911379 4 RTP_Emulation.ttcn:555 Port RTCP was cleared. 10:13:45.911396 4 RTP_Emulation.ttcn:559 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 10:13:45.911549 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_mode : { } id 10 10:13:45.911659 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:45.911687 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_mode : { } id 1 10:13:45.911714 mtc RTP_Emulation.ttcn:212 Operation with id 10 was extracted from the queue of RTPEM[1]. 10:13:45.911744 mtc RTP_Emulation.ttcn:222 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:45.911910 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 13 10:13:45.912018 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:45.912044 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:45.912060 3 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.912075 3 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.912088 3 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.912102 3 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.912115 3 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.912130 3 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:45.912147 3 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:45.912170 3 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:45.912193 3 RTP_Emulation.ttcn:577 Operation with id 13 was extracted from the queue of CTRL. 10:13:45.912234 3 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 97, bytes_payload_tx := 3007, num_pkts_rx := 24, bytes_payload_rx := 840, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:45.912375 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 97, bytes_payload_tx := 3007, num_pkts_rx := 24, bytes_payload_rx := 840, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 13 10:13:45.912512 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[0] succeeded: { stats := matched } 10:13:45.912572 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 97, bytes_payload_tx := 3007, num_pkts_rx := 24, bytes_payload_rx := 840, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:45.912621 mtc RTP_Emulation.ttcn:223 Operation with id 13 was extracted from the queue of RTPEM[0]. 10:13:45.912690 mtc RTP_Emulation.ttcn:201 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } 10:13:45.912894 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } id 11 10:13:45.913002 4 RTP_Emulation.ttcn:460 Matching on port CTRL succeeded: { local_host := "127.0.0.1" with ? matched, local_port := 20000 with ? matched } 10:13:45.913040 4 RTP_Emulation.ttcn:460 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } id 1 10:13:45.913066 4 RTP_Emulation.ttcn:460 Operation with id 11 was extracted from the queue of CTRL. 10:13:45.913556 4 RTP_Emulation.ttcn:473 entering f__IPL4__PROVIDER__listen: 127.0.0.1:20000 / UDP 10:13:45.913676 4 RTP_Emulation.ttcn:486 entering f__IPL4__PROVIDER__listen: 127.0.0.1:20001 / UDP 10:13:45.913722 4 RTP_Emulation.ttcn:494 Replied on CTRL to mtc @RTP_Emulation.RTPEM_bind : { local_port := 20000 } 10:13:45.913797 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.913805 mtc RTP_Emulation.ttcn:201 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_bind : { local_port := 20000 } id 11 10:13:45.913862 mtc RTP_Emulation.ttcn:202 Matching on port RTPEM[1] succeeded: { local_port := 20000 with ? matched } 10:13:45.913909 mtc RTP_Emulation.ttcn:202 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_bind : { local_port := 20000 } id 1 10:13:45.913949 mtc RTP_Emulation.ttcn:202 Operation with id 11 was extracted from the queue of RTPEM[1]. 10:13:45.914059 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 97, time_stamp := '00000000000000000011110010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.914102 mtc RTP_Emulation.ttcn:216 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 112, fixed_payload := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } }, rx_payloads := { { payload_type := 112, fixed_payload := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } 10:13:45.914130 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006100003CA0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.914216 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.914347 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 112, fixed_payload := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } }, rx_payloads := { { payload_type := 112, fixed_payload := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 12 10:13:45.914394 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:45.914412 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:45.914426 4 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.914441 4 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.914455 4 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.914469 4 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.914482 4 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:45.914499 4 RTP_Emulation.ttcn:572 Matching on port CTRL succeeded: { cfg := matched } 10:13:45.914540 4 RTP_Emulation.ttcn:572 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 112, fixed_payload := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } }, rx_payloads := { { payload_type := 112, fixed_payload := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 1 10:13:45.914565 4 RTP_Emulation.ttcn:572 Operation with id 12 was extracted from the queue of CTRL. 10:13:45.914666 4 RTP_Emulation.ttcn:575 Replied on CTRL to mtc @RTP_Emulation.RTPEM_configure : { } 10:13:45.914745 mtc RTP_Emulation.ttcn:216 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_configure : { } id 12 10:13:45.914836 mtc RTP_Emulation.ttcn:217 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:45.914881 mtc RTP_Emulation.ttcn:217 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_configure : { } id 1 10:13:45.914922 mtc RTP_Emulation.ttcn:217 Operation with id 12 was extracted from the queue of RTPEM[1]. 10:13:45.915760 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "MDCX", trans_id := "46252", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { { code := "M", val := "sendrecv" }, { code := "C", val := "1227" }, { code := "I", val := "A759C508" }, { code := "L", val := "p:20, a:AMR" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "23", session_version := "42", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 20000, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } 10:13:45.916043 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '4D444358203436323532207274706272696467652F32406D6777204D47435020312E300D0A4D3A2073656E64726563760D0A433A20313232370D0A493A2041373539433530380D0A4C3A20703A32302C20613A414D520D0A0D0A763D300D0A6F3D2D20323320343220494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F203230303030205254502F415650203131320D0A613D7274706D61703A31313220414D522F383030300D0A613D7074696D653A32300D0A'O ("MDCX 46252 rtpbridge/2@mgw MGCP 1.0\r M: sendrecv\r C: 1227\r I: A759C508\r L: p:20, a:AMR\r \r v=0\r o=- 23 42 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 20000 RTP/AVP 112\r a=rtpmap:112 AMR/8000\r a=ptime:20\r ") } 10:13:45.916245 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 10:13:45.916569 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '323030203436323532204F4B0D0A0D0A763D300D0A6F3D2D20413735394335303820323320494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F2034303034205254502F415650203131320D0A613D7274706D61703A31313220414D522F383030300D0A613D7074696D653A32300D0A'O ("200 46252 OK\r \r v=0\r o=- A759C508 23 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 4004 RTP/AVP 112\r a=rtpmap:112 AMR/8000\r a=ptime:20\r ") } id 5 10:13:45.916711 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46252", string := "OK" }, params := { }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "A759C508", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4004, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 5 10:13:45.916757 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 10:13:45.916780 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46252", string := "OK" }, params := { }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "A759C508", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4004, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 5 10:13:45.916796 mtc MGCP_Test.ttcn:273 Message with id 5 was extracted from the queue of MGCP. 10:13:45.916806 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 10:13:45.916832 mtc RTP_Emulation.ttcn:206 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4004 } 10:13:45.916903 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4004 } id 13 10:13:45.916925 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:45.916931 4 RTP_Emulation.ttcn:496 Matching on port CTRL succeeded: { remote_host := "127.0.0.1" with ? matched, remote_port := 4004 with ? matched } 10:13:45.916937 4 RTP_Emulation.ttcn:496 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4004 } id 1 10:13:45.916942 4 RTP_Emulation.ttcn:496 Operation with id 13 was extracted from the queue of CTRL. 10:13:45.916948 4 RTP_Emulation.ttcn:502 entering f__IPL4__PROVIDER__connect: 127.0.0.1:20000 -> 127.0.0.1:4004 / UDP 10:13:45.916958 4 RTP_Emulation.ttcn:510 entering f__IPL4__PROVIDER__connect: 127.0.0.1:20001 -> 127.0.0.1:4005 / UDP 10:13:45.916968 4 RTP_Emulation.ttcn:523 Replied on CTRL to mtc @RTP_Emulation.RTPEM_connect : { } 10:13:45.917021 mtc RTP_Emulation.ttcn:206 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_connect : { } id 13 10:13:45.917044 mtc RTP_Emulation.ttcn:207 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:45.917055 mtc RTP_Emulation.ttcn:207 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_connect : { } id 1 10:13:45.917066 mtc RTP_Emulation.ttcn:207 Operation with id 13 was extracted from the queue of RTPEM[1]. 10:13:45.917087 mtc Osmocom_Types.ttcn:118 Start timer T: 2 s 10:13:45.931385 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.931586 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 73, time_stamp := '00000000000000000010110110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.931664 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070004900002DA0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.931749 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.932204 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000199ED8DD5BC182322C094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 26 10:13:45.932387 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 25, time_stamp := '10011110110110001101110101011011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 26 10:13:45.932454 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.932494 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 25, time_stamp := '10011110110110001101110101011011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 26 10:13:45.932525 3 RTP_Emulation.ttcn:614 Message with id 26 was extracted from the queue of RTP. 10:13:45.934264 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.934546 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 98, time_stamp := '00000000000000000011110101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.934615 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006200003D40DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.934698 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.935562 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000004F6C6EC5C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 1 10:13:45.936077 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 0, time_stamp := '01001111011011000110111011000101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 1 10:13:45.936314 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.936372 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 0, time_stamp := '01001111011011000110111011000101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 1 10:13:45.936410 4 RTP_Emulation.ttcn:614 Message with id 1 was extracted from the queue of RTP. 10:13:45.951796 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.951975 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 74, time_stamp := '00000000000000000010111001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.952054 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070004A00002E40DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.952151 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.952647 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060001A9ED8DEA1C182322C0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 27 10:13:45.952801 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 26, time_stamp := '10011110110110001101111010100001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 27 10:13:45.952869 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.952910 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 26, time_stamp := '10011110110110001101111010100001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 27 10:13:45.952941 3 RTP_Emulation.ttcn:614 Message with id 27 was extracted from the queue of RTP. 10:13:45.954740 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.954966 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 99, time_stamp := '00000000000000000011110111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.955018 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006300003DE0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.955082 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.955426 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000014F6C6F67C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 2 10:13:45.955538 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 1, time_stamp := '01001111011011000110111101100111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 2 10:13:45.955597 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.955622 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 1, time_stamp := '01001111011011000110111101100111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 2 10:13:45.955641 4 RTP_Emulation.ttcn:614 Message with id 2 was extracted from the queue of RTP. 10:13:45.972205 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.972388 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 75, time_stamp := '00000000000000000010111011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.972457 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070004B00002EE0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.972541 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.972975 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060001B9ED8DFE8C182322C0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 28 10:13:45.973099 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 27, time_stamp := '10011110110110001101111111101000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 28 10:13:45.973161 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.973197 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 27, time_stamp := '10011110110110001101111111101000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 28 10:13:45.973225 3 RTP_Emulation.ttcn:614 Message with id 28 was extracted from the queue of RTP. 10:13:45.975103 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.975246 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 100, time_stamp := '00000000000000000011111010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.975295 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006400003E80DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.975362 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.975810 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000024F6C700AC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 3 10:13:45.975968 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 2, time_stamp := '01001111011011000111000000001010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 3 10:13:45.976030 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.976066 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 2, time_stamp := '01001111011011000111000000001010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 3 10:13:45.976094 4 RTP_Emulation.ttcn:614 Message with id 3 was extracted from the queue of RTP. 10:13:45.992581 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.992717 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 76, time_stamp := '00000000000000000010111110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:45.992782 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070004C00002F80DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:45.992842 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.993172 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060001C9ED8E12CC182322C0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 29 10:13:45.993278 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 28, time_stamp := '10011110110110001110000100101100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 29 10:13:45.993344 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.993371 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 28, time_stamp := '10011110110110001110000100101100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 29 10:13:45.993388 3 RTP_Emulation.ttcn:614 Message with id 29 was extracted from the queue of RTP. 10:13:45.995395 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:45.995522 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 101, time_stamp := '00000000000000000011111100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:45.995555 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006500003F20DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:45.995597 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:45.995897 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000034F6C70ACC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 4 10:13:45.995934 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 3, time_stamp := '01001111011011000111000010101100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 4 10:13:45.995956 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:45.995973 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 3, time_stamp := '01001111011011000111000010101100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 4 10:13:45.995985 4 RTP_Emulation.ttcn:614 Message with id 4 was extracted from the queue of RTP. 10:13:46.012867 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.012942 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 77, time_stamp := '00000000000000000011000000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.012973 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070004D00003020DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.013010 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.013297 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060001D9ED8E26EC182322C0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 30 10:13:46.013353 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 29, time_stamp := '10011110110110001110001001101110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 30 10:13:46.013384 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.013403 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 29, time_stamp := '10011110110110001110001001101110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 30 10:13:46.013418 3 RTP_Emulation.ttcn:614 Message with id 30 was extracted from the queue of RTP. 10:13:46.015608 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.015694 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 102, time_stamp := '00000000000000000011111111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.015740 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006600003FC0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.015783 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.016057 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000044F6C714DC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 5 10:13:46.016100 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 4, time_stamp := '01001111011011000111000101001101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 5 10:13:46.016134 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.016155 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 4, time_stamp := '01001111011011000111000101001101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 5 10:13:46.016181 4 RTP_Emulation.ttcn:614 Message with id 5 was extracted from the queue of RTP. 10:13:46.033025 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.033103 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 78, time_stamp := '00000000000000000011000011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.033154 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070004E000030C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.033212 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.033722 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060001E9ED8E3B3C182322C0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 31 10:13:46.033877 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 30, time_stamp := '10011110110110001110001110110011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 31 10:13:46.033945 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.033986 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 30, time_stamp := '10011110110110001110001110110011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 31 10:13:46.034016 3 RTP_Emulation.ttcn:614 Message with id 31 was extracted from the queue of RTP. 10:13:46.035821 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.036084 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 103, time_stamp := '00000000000000000100000001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.036156 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006700004060DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.036241 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.036612 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000054F6C71F1C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 6 10:13:46.036683 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 5, time_stamp := '01001111011011000111000111110001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 6 10:13:46.036724 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.036760 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 5, time_stamp := '01001111011011000111000111110001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 6 10:13:46.036787 4 RTP_Emulation.ttcn:614 Message with id 6 was extracted from the queue of RTP. 10:13:46.053257 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.053450 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 79, time_stamp := '00000000000000000011000101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.053523 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070004F00003160DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.053608 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.054218 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060001F9ED8E4FBC182322C0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 32 10:13:46.054395 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 31, time_stamp := '10011110110110001110010011111011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 32 10:13:46.054465 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.054505 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 31, time_stamp := '10011110110110001110010011111011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 32 10:13:46.054564 3 RTP_Emulation.ttcn:614 Message with id 32 was extracted from the queue of RTP. 10:13:46.056289 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.056547 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 104, time_stamp := '00000000000000000100000100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.056616 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006800004100DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.056699 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.057295 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000064F6C7296C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 7 10:13:46.057483 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 6, time_stamp := '01001111011011000111001010010110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 7 10:13:46.057552 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.057592 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 6, time_stamp := '01001111011011000111001010010110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 7 10:13:46.057622 4 RTP_Emulation.ttcn:614 Message with id 7 was extracted from the queue of RTP. 10:13:46.073656 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.073856 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 80, time_stamp := '00000000000000000011001000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.073930 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070005000003200DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.074016 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.074669 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000209ED8E642C182322C00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 33 10:13:46.074824 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 32, time_stamp := '10011110110110001110011001000010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 33 10:13:46.074893 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.074933 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 32, time_stamp := '10011110110110001110011001000010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 33 10:13:46.074963 3 RTP_Emulation.ttcn:614 Message with id 33 was extracted from the queue of RTP. 10:13:46.076748 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.077001 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 105, time_stamp := '00000000000000000100000110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.077072 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80600069000041A0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.077154 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.077747 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000074F6C7339C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 8 10:13:46.077904 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 7, time_stamp := '01001111011011000111001100111001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 8 10:13:46.077973 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.078013 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 7, time_stamp := '01001111011011000111001100111001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 8 10:13:46.078071 4 RTP_Emulation.ttcn:614 Message with id 8 was extracted from the queue of RTP. 10:13:46.094066 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.094266 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 81, time_stamp := '00000000000000000011001010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.094373 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80700051000032A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.094462 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.095066 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000219ED8E788C182322C0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 34 10:13:46.095220 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 33, time_stamp := '10011110110110001110011110001000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 34 10:13:46.095287 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.095328 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 33, time_stamp := '10011110110110001110011110001000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 34 10:13:46.095358 3 RTP_Emulation.ttcn:614 Message with id 34 was extracted from the queue of RTP. 10:13:46.097228 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.097486 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 106, time_stamp := '00000000000000000100001001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.097557 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006A00004240DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.097641 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.098239 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000084F6C73DDC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 9 10:13:46.098432 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 8, time_stamp := '01001111011011000111001111011101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 9 10:13:46.098502 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.098543 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 8, time_stamp := '01001111011011000111001111011101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 9 10:13:46.098592 4 RTP_Emulation.ttcn:614 Message with id 9 was extracted from the queue of RTP. 10:13:46.114513 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.114709 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 82, time_stamp := '00000000000000000011001101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.114784 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070005200003340DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.114868 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.115489 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000229ED8E8CFC182322C024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 35 10:13:46.115642 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 34, time_stamp := '10011110110110001110100011001111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 35 10:13:46.115710 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.115751 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 34, time_stamp := '10011110110110001110100011001111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 35 10:13:46.115812 3 RTP_Emulation.ttcn:614 Message with id 35 was extracted from the queue of RTP. 10:13:46.117689 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.117948 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 107, time_stamp := '00000000000000000100001011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.118016 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006B000042E0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.118100 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.118904 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000094F6C7482C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 10 10:13:46.119063 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 9, time_stamp := '01001111011011000111010010000010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 10 10:13:46.119157 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.119199 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 9, time_stamp := '01001111011011000111010010000010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 10 10:13:46.119228 4 RTP_Emulation.ttcn:614 Message with id 10 was extracted from the queue of RTP. 10:13:46.134918 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.135119 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 83, time_stamp := '00000000000000000011001111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.135197 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80700053000033E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.135284 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.135776 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000239ED8EA14C182322C0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 36 10:13:46.135930 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 35, time_stamp := '10011110110110001110101000010100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 36 10:13:46.135997 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.136038 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 35, time_stamp := '10011110110110001110101000010100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 36 10:13:46.136068 3 RTP_Emulation.ttcn:614 Message with id 36 was extracted from the queue of RTP. 10:13:46.138148 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.138441 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 108, time_stamp := '00000000000000000100001110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.138513 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006C00004380DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.138597 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.139064 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000A4F6C7524C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 11 10:13:46.139219 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 10, time_stamp := '01001111011011000111010100100100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 11 10:13:46.139287 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.139327 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 10, time_stamp := '01001111011011000111010100100100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 11 10:13:46.139357 4 RTP_Emulation.ttcn:614 Message with id 11 was extracted from the queue of RTP. 10:13:46.155333 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.155531 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 84, time_stamp := '00000000000000000011010010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.155838 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070005400003480DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.155927 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.156418 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000249ED8EB5EC182322C0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 37 10:13:46.156572 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 36, time_stamp := '10011110110110001110101101011110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 37 10:13:46.156670 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.156711 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 36, time_stamp := '10011110110110001110101101011110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 37 10:13:46.156742 3 RTP_Emulation.ttcn:614 Message with id 37 was extracted from the queue of RTP. 10:13:46.158645 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.158906 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 109, time_stamp := '00000000000000000100010000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.158976 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006D00004420DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.159059 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.159546 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000B4F6C75C8C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 12 10:13:46.159701 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 11, time_stamp := '01001111011011000111010111001000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 12 10:13:46.159769 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.159808 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 11, time_stamp := '01001111011011000111010111001000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 12 10:13:46.159838 4 RTP_Emulation.ttcn:614 Message with id 12 was extracted from the queue of RTP. 10:13:46.176103 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.176354 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 85, time_stamp := '00000000000000000011010100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.176437 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070005500003520DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.176540 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.177159 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000259ED8ECAAC182322C054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 38 10:13:46.177333 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 37, time_stamp := '10011110110110001110110010101010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 38 10:13:46.177405 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.177447 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 37, time_stamp := '10011110110110001110110010101010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 38 10:13:46.177478 3 RTP_Emulation.ttcn:614 Message with id 38 was extracted from the queue of RTP. 10:13:46.179108 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.179378 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 110, time_stamp := '00000000000000000100010011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.179477 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006E000044C0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.179569 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.180042 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000C4F6C766CC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 13 10:13:46.180196 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 12, time_stamp := '01001111011011000111011001101100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 13 10:13:46.180264 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.180304 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 12, time_stamp := '01001111011011000111011001101100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 13 10:13:46.180357 4 RTP_Emulation.ttcn:614 Message with id 13 was extracted from the queue of RTP. 10:13:46.196617 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.196816 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 86, time_stamp := '00000000000000000011010111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.196891 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80700056000035C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.196983 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.197459 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000269ED8EDEFC182322C0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 39 10:13:46.197615 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 38, time_stamp := '10011110110110001110110111101111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 39 10:13:46.197683 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.197723 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 38, time_stamp := '10011110110110001110110111101111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 39 10:13:46.197754 3 RTP_Emulation.ttcn:614 Message with id 39 was extracted from the queue of RTP. 10:13:46.199618 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.199880 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 111, time_stamp := '00000000000000000100010101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.199949 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060006F00004560DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.200038 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.200508 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000D4F6C770FC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 14 10:13:46.200663 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 13, time_stamp := '01001111011011000111011100001111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 14 10:13:46.200732 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.200773 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 13, time_stamp := '01001111011011000111011100001111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 14 10:13:46.200803 4 RTP_Emulation.ttcn:614 Message with id 14 was extracted from the queue of RTP. 10:13:46.217088 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.217353 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 87, time_stamp := '00000000000000000011011001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.217438 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070005700003660DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.217546 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.218102 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000279ED8EF39C182322C07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 40 10:13:46.218277 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 39, time_stamp := '10011110110110001110111100111001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 40 10:13:46.218414 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.218458 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 39, time_stamp := '10011110110110001110111100111001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 40 10:13:46.218490 3 RTP_Emulation.ttcn:614 Message with id 40 was extracted from the queue of RTP. 10:13:46.220086 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.220354 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 112, time_stamp := '00000000000000000100011000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.220426 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007000004600DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.220519 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.220983 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000E4F6C77B3C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 15 10:13:46.221161 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 14, time_stamp := '01001111011011000111011110110011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 15 10:13:46.221229 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.221270 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 14, time_stamp := '01001111011011000111011110110011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 15 10:13:46.221301 4 RTP_Emulation.ttcn:614 Message with id 15 was extracted from the queue of RTP. 10:13:46.237611 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.237879 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 88, time_stamp := '00000000000000000011011100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.237964 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070005800003700DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.238075 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.238654 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000289ED8F081C182322C084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 41 10:13:46.238830 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 40, time_stamp := '10011110110110001111000010000001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 41 10:13:46.238905 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.238947 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 40, time_stamp := '10011110110110001111000010000001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 41 10:13:46.238978 3 RTP_Emulation.ttcn:614 Message with id 41 was extracted from the queue of RTP. 10:13:46.240568 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.240837 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 113, time_stamp := '00000000000000000100011010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.240908 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80600071000046A0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.241025 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.241486 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000F4F6C7857C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 16 10:13:46.241639 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 15, time_stamp := '01001111011011000111100001010111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 16 10:13:46.241708 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.241748 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 15, time_stamp := '01001111011011000111100001010111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 16 10:13:46.241806 4 RTP_Emulation.ttcn:614 Message with id 16 was extracted from the queue of RTP. 10:13:46.258139 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.258455 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 89, time_stamp := '00000000000000000011011110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.258543 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80700059000037A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.258650 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.259204 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000299ED8F1CAC182322C094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 42 10:13:46.259381 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 41, time_stamp := '10011110110110001111000111001010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 42 10:13:46.259454 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.259497 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 41, time_stamp := '10011110110110001111000111001010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 42 10:13:46.259527 3 RTP_Emulation.ttcn:614 Message with id 42 was extracted from the queue of RTP. 10:13:46.261074 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.261343 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 114, time_stamp := '00000000000000000100011101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.261414 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007200004740DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.261513 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.261982 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000104F6C78FBC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 17 10:13:46.262136 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 16, time_stamp := '01001111011011000111100011111011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 17 10:13:46.262204 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.262244 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 16, time_stamp := '01001111011011000111100011111011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 17 10:13:46.262291 4 RTP_Emulation.ttcn:614 Message with id 17 was extracted from the queue of RTP. 10:13:46.278715 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.278976 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 90, time_stamp := '00000000000000000011100001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.279061 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070005A00003840DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.279172 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.279714 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060002A9ED8F312C182322C0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 43 10:13:46.279889 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 42, time_stamp := '10011110110110001111001100010010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 43 10:13:46.279963 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.280006 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 42, time_stamp := '10011110110110001111001100010010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 43 10:13:46.280063 3 RTP_Emulation.ttcn:614 Message with id 43 was extracted from the queue of RTP. 10:13:46.281561 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.281832 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 115, time_stamp := '00000000000000000100011111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.281903 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80600073000047E0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.281999 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.282488 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000114F6C799FC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 18 10:13:46.282642 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 17, time_stamp := '01001111011011000111100110011111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 18 10:13:46.282736 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.282776 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 17, time_stamp := '01001111011011000111100110011111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 18 10:13:46.282807 4 RTP_Emulation.ttcn:614 Message with id 18 was extracted from the queue of RTP. 10:13:46.299237 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.299501 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 91, time_stamp := '00000000000000000011100011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.299589 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070005B000038E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.299698 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.300249 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060002B9ED8F45BC182322C0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 44 10:13:46.300427 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 43, time_stamp := '10011110110110001111010001011011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 44 10:13:46.300501 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.300543 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 43, time_stamp := '10011110110110001111010001011011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 44 10:13:46.300574 3 RTP_Emulation.ttcn:614 Message with id 44 was extracted from the queue of RTP. 10:13:46.302048 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.302351 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 116, time_stamp := '00000000000000000100100010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.302426 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007400004880DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.302522 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.302988 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000124F6C7A43C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 19 10:13:46.303146 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 18, time_stamp := '01001111011011000111101001000011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 19 10:13:46.303215 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.303254 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 18, time_stamp := '01001111011011000111101001000011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 19 10:13:46.303285 4 RTP_Emulation.ttcn:614 Message with id 19 was extracted from the queue of RTP. 10:13:46.319762 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.320027 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 92, time_stamp := '00000000000000000011100110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.320139 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070005C00003980DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.320248 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.320808 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060002C9ED8F5A4C182322C0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 45 10:13:46.321008 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 44, time_stamp := '10011110110110001111010110100100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 45 10:13:46.321083 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.321126 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 44, time_stamp := '10011110110110001111010110100100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 45 10:13:46.321157 3 RTP_Emulation.ttcn:614 Message with id 45 was extracted from the queue of RTP. 10:13:46.322571 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.322841 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 117, time_stamp := '00000000000000000100100100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.322910 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007500004920DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.323003 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.323473 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000134F6C7AE7C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 20 10:13:46.323627 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 19, time_stamp := '01001111011011000111101011100111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 20 10:13:46.323694 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.323733 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 19, time_stamp := '01001111011011000111101011100111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 20 10:13:46.323764 4 RTP_Emulation.ttcn:614 Message with id 20 was extracted from the queue of RTP. 10:13:46.340314 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.340580 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 93, time_stamp := '00000000000000000011101000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.340666 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070005D00003A20DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.340777 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.341371 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060002D9ED8F6EDC182322C0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 46 10:13:46.341549 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 45, time_stamp := '10011110110110001111011011101101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 46 10:13:46.341623 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.341666 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 45, time_stamp := '10011110110110001111011011101101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 46 10:13:46.341697 3 RTP_Emulation.ttcn:614 Message with id 46 was extracted from the queue of RTP. 10:13:46.343051 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.343320 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 118, time_stamp := '00000000000000000100100111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.343390 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80600076000049C0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.343479 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.343941 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000144F6C7B8BC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 21 10:13:46.344098 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 20, time_stamp := '01001111011011000111101110001011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 21 10:13:46.344168 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.344208 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 20, time_stamp := '01001111011011000111101110001011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 21 10:13:46.344261 4 RTP_Emulation.ttcn:614 Message with id 21 was extracted from the queue of RTP. 10:13:46.360841 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.361110 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 94, time_stamp := '00000000000000000011101011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.361196 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070005E00003AC0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.361305 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.361859 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060002E9ED8F835C182322C0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 47 10:13:46.362033 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 46, time_stamp := '10011110110110001111100000110101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 47 10:13:46.362108 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.362150 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 46, time_stamp := '10011110110110001111100000110101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 47 10:13:46.362181 3 RTP_Emulation.ttcn:614 Message with id 47 was extracted from the queue of RTP. 10:13:46.363528 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.363797 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 119, time_stamp := '00000000000000000100101001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.363869 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007700004A60DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.363964 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.364436 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000154F6C7C2FC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 22 10:13:46.364591 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 21, time_stamp := '01001111011011000111110000101111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 22 10:13:46.364660 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.364699 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 21, time_stamp := '01001111011011000111110000101111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 22 10:13:46.364729 4 RTP_Emulation.ttcn:614 Message with id 22 was extracted from the queue of RTP. 10:13:46.381370 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.381632 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 95, time_stamp := '00000000000000000011101101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.381716 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070005F00003B60DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.381824 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.382452 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060002F9ED8F97DC182322C0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 48 10:13:46.382642 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 47, time_stamp := '10011110110110001111100101111101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 48 10:13:46.382746 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.382790 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 47, time_stamp := '10011110110110001111100101111101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 48 10:13:46.382821 3 RTP_Emulation.ttcn:614 Message with id 48 was extracted from the queue of RTP. 10:13:46.384095 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.384363 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 120, time_stamp := '00000000000000000100101100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.384433 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007800004B00DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.384518 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.385054 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000164F6C7CD4C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 23 10:13:46.385232 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 22, time_stamp := '01001111011011000111110011010100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 23 10:13:46.385301 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.385342 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 22, time_stamp := '01001111011011000111110011010100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 23 10:13:46.385371 4 RTP_Emulation.ttcn:614 Message with id 23 was extracted from the queue of RTP. 10:13:46.401873 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.402071 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 96, time_stamp := '00000000000000000011110000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.402146 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006000003C00DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.402232 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.402746 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000309ED8FAC3C182322C00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 49 10:13:46.402901 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 48, time_stamp := '10011110110110001111101011000011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 49 10:13:46.402969 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.403009 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 48, time_stamp := '10011110110110001111101011000011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 49 10:13:46.403039 3 RTP_Emulation.ttcn:614 Message with id 49 was extracted from the queue of RTP. 10:13:46.404567 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.404828 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 121, time_stamp := '00000000000000000100101110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.404920 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007900004BA0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.405004 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.405464 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000174F6C7D77C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 24 10:13:46.405617 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 23, time_stamp := '01001111011011000111110101110111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 24 10:13:46.405686 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.405724 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 23, time_stamp := '01001111011011000111110101110111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 24 10:13:46.405791 4 RTP_Emulation.ttcn:614 Message with id 24 was extracted from the queue of RTP. 10:13:46.422295 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.422600 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 97, time_stamp := '00000000000000000011110010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.422687 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006100003CA0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.422794 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.423360 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000319ED8FC0DC182322C0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 50 10:13:46.423536 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 49, time_stamp := '10011110110110001111110000001101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 50 10:13:46.423610 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.423651 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 49, time_stamp := '10011110110110001111110000001101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 50 10:13:46.423683 3 RTP_Emulation.ttcn:614 Message with id 50 was extracted from the queue of RTP. 10:13:46.425052 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.425320 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 122, time_stamp := '00000000000000000100110001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.425390 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007A00004C40DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.425488 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.425969 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000184F6C7E1BC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 25 10:13:46.426122 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 24, time_stamp := '01001111011011000111111000011011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 25 10:13:46.426192 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.426233 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 24, time_stamp := '01001111011011000111111000011011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 25 10:13:46.426263 4 RTP_Emulation.ttcn:614 Message with id 25 was extracted from the queue of RTP. 10:13:46.442859 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.443126 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 98, time_stamp := '00000000000000000011110101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.443213 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006200003D40DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.443322 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.443887 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000329ED8FD55C182322C024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 51 10:13:46.444064 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 50, time_stamp := '10011110110110001111110101010101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 51 10:13:46.444137 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.444179 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 50, time_stamp := '10011110110110001111110101010101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 51 10:13:46.444241 3 RTP_Emulation.ttcn:614 Message with id 51 was extracted from the queue of RTP. 10:13:46.445536 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.445807 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 123, time_stamp := '00000000000000000100110011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.445879 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007B00004CE0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.445973 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.446452 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000194F6C7EBFC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 26 10:13:46.446609 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 25, time_stamp := '01001111011011000111111010111111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 26 10:13:46.446700 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.446741 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 25, time_stamp := '01001111011011000111111010111111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 26 10:13:46.446771 4 RTP_Emulation.ttcn:614 Message with id 26 was extracted from the queue of RTP. 10:13:46.463385 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.463646 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 99, time_stamp := '00000000000000000011110111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.463731 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006300003DE0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.463839 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.464412 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000339ED8FE9DC182322C0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 52 10:13:46.464581 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 51, time_stamp := '10011110110110001111111010011101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 52 10:13:46.464655 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.464697 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 51, time_stamp := '10011110110110001111111010011101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 52 10:13:46.464728 3 RTP_Emulation.ttcn:614 Message with id 52 was extracted from the queue of RTP. 10:13:46.466021 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.466288 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 124, time_stamp := '00000000000000000100110110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.466395 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007C00004D80DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.466490 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.466969 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001A4F6C7F63C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 27 10:13:46.467125 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 26, time_stamp := '01001111011011000111111101100011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 27 10:13:46.467193 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.467233 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 26, time_stamp := '01001111011011000111111101100011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 27 10:13:46.467263 4 RTP_Emulation.ttcn:614 Message with id 27 was extracted from the queue of RTP. 10:13:46.483903 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.484169 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 100, time_stamp := '00000000000000000011111010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.484287 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006400003E80DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.484397 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.484975 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000349ED8FFE6C182322C0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 53 10:13:46.485142 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 52, time_stamp := '10011110110110001111111111100110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 53 10:13:46.485217 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.485259 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 52, time_stamp := '10011110110110001111111111100110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 53 10:13:46.485290 3 RTP_Emulation.ttcn:614 Message with id 53 was extracted from the queue of RTP. 10:13:46.486566 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.486835 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 125, time_stamp := '00000000000000000100111000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.486905 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007D00004E20DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.486998 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.487464 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001B4F6C8007C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 28 10:13:46.487621 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 27, time_stamp := '01001111011011001000000000000111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 28 10:13:46.487690 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.487730 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 27, time_stamp := '01001111011011001000000000000111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 28 10:13:46.487760 4 RTP_Emulation.ttcn:614 Message with id 28 was extracted from the queue of RTP. 10:13:46.504461 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.504726 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 101, time_stamp := '00000000000000000011111100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.504812 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006500003F20DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.504923 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.505511 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000359ED9012FC182322C054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 54 10:13:46.505682 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 53, time_stamp := '10011110110110010000000100101111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 54 10:13:46.505757 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.505799 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 53, time_stamp := '10011110110110010000000100101111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 54 10:13:46.505863 3 RTP_Emulation.ttcn:614 Message with id 54 was extracted from the queue of RTP. 10:13:46.507139 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.507413 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 126, time_stamp := '00000000000000000100111011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.507483 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007E00004EC0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.507572 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.508059 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001C4F6C80ACC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 29 10:13:46.508216 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 28, time_stamp := '01001111011011001000000010101100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 29 10:13:46.508284 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.508324 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 28, time_stamp := '01001111011011001000000010101100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 29 10:13:46.508376 4 RTP_Emulation.ttcn:614 Message with id 29 was extracted from the queue of RTP. 10:13:46.524986 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.525253 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 102, time_stamp := '00000000000000000011111111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.525340 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006600003FC0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.525447 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.526017 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000369ED90277C182322C0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 55 10:13:46.526185 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 54, time_stamp := '10011110110110010000001001110111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 55 10:13:46.526259 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.526342 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 54, time_stamp := '10011110110110010000001001110111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 55 10:13:46.526376 3 RTP_Emulation.ttcn:614 Message with id 55 was extracted from the queue of RTP. 10:13:46.527677 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.527949 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 127, time_stamp := '00000000000000000100111101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.528020 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060007F00004F60DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.528106 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.528569 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001D4F6C8150C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 30 10:13:46.528723 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 29, time_stamp := '01001111011011001000000101010000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 30 10:13:46.528793 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.528833 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 29, time_stamp := '01001111011011001000000101010000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 30 10:13:46.528862 4 RTP_Emulation.ttcn:614 Message with id 30 was extracted from the queue of RTP. 10:13:46.545513 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.545775 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 103, time_stamp := '00000000000000000100000001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.545861 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006700004060DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.545970 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.546568 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000379ED903BFC182322C07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 56 10:13:46.546734 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 55, time_stamp := '10011110110110010000001110111111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 56 10:13:46.546856 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.546899 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 55, time_stamp := '10011110110110010000001110111111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 56 10:13:46.546930 3 RTP_Emulation.ttcn:614 Message with id 56 was extracted from the queue of RTP. 10:13:46.548181 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.548460 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 128, time_stamp := '00000000000000000101000000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.548530 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060008000005000DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.548620 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.549098 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001E4F6C81F4C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 31 10:13:46.549277 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 30, time_stamp := '01001111011011001000000111110100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 31 10:13:46.549346 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.549386 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 30, time_stamp := '01001111011011001000000111110100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 31 10:13:46.549416 4 RTP_Emulation.ttcn:614 Message with id 31 was extracted from the queue of RTP. 10:13:46.566035 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.566351 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 104, time_stamp := '00000000000000000100000100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.566462 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006800004100DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.566570 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.567118 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000389ED90509C182322C084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 57 10:13:46.567285 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 56, time_stamp := '10011110110110010000010100001001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 57 10:13:46.567359 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.567401 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 56, time_stamp := '10011110110110010000010100001001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 57 10:13:46.567431 3 RTP_Emulation.ttcn:614 Message with id 57 was extracted from the queue of RTP. 10:13:46.568712 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.568984 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 129, time_stamp := '00000000000000000101000010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.569080 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80600081000050A0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.569170 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.569641 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001F4F6C8299C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 32 10:13:46.569794 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 31, time_stamp := '01001111011011001000001010011001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 32 10:13:46.569863 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.569903 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 31, time_stamp := '01001111011011001000001010011001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 32 10:13:46.569954 4 RTP_Emulation.ttcn:614 Message with id 32 was extracted from the queue of RTP. 10:13:46.586637 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.586903 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 105, time_stamp := '00000000000000000100000110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.586988 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80700069000041A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.587095 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.587664 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000399ED90651C182322C094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 58 10:13:46.587828 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 57, time_stamp := '10011110110110010000011001010001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 58 10:13:46.587903 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.587945 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 57, time_stamp := '10011110110110010000011001010001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 58 10:13:46.587975 3 RTP_Emulation.ttcn:614 Message with id 58 was extracted from the queue of RTP. 10:13:46.589247 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.589520 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 130, time_stamp := '00000000000000000101000101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.589588 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060008200005140DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.589676 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.590227 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000204F6C833DC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 33 10:13:46.590547 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 32, time_stamp := '01001111011011001000001100111101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 33 10:13:46.590646 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.590686 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 32, time_stamp := '01001111011011001000001100111101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 33 10:13:46.590717 4 RTP_Emulation.ttcn:614 Message with id 33 was extracted from the queue of RTP. 10:13:46.607159 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.607426 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 106, time_stamp := '00000000000000000100001001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.607511 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006A00004240DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.607620 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.608190 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060003A9ED9079AC182322C0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 59 10:13:46.608356 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 58, time_stamp := '10011110110110010000011110011010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 59 10:13:46.608455 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.608496 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 58, time_stamp := '10011110110110010000011110011010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 59 10:13:46.608527 3 RTP_Emulation.ttcn:614 Message with id 59 was extracted from the queue of RTP. 10:13:46.609812 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.610089 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 131, time_stamp := '00000000000000000101000111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.610160 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80600083000051E0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.610254 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.610743 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000214F6C83E1C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 34 10:13:46.610899 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 33, time_stamp := '01001111011011001000001111100001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 34 10:13:46.610998 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.611038 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 33, time_stamp := '01001111011011001000001111100001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 34 10:13:46.611069 4 RTP_Emulation.ttcn:614 Message with id 34 was extracted from the queue of RTP. 10:13:46.627669 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.627866 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 107, time_stamp := '00000000000000000100001011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.627941 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006B000042E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.628031 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.628518 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060003B9ED908E0C182322C0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 60 10:13:46.628676 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 59, time_stamp := '10011110110110010000100011100000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 60 10:13:46.628745 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.628784 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 59, time_stamp := '10011110110110010000100011100000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 60 10:13:46.628814 3 RTP_Emulation.ttcn:614 Message with id 60 was extracted from the queue of RTP. 10:13:46.630329 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.630593 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 132, time_stamp := '00000000000000000101001010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.630662 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060008400005280DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.630771 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.631244 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000224F6C8485C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 35 10:13:46.631399 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 34, time_stamp := '01001111011011001000010010000101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 35 10:13:46.631468 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.631507 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 34, time_stamp := '01001111011011001000010010000101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 35 10:13:46.631537 4 RTP_Emulation.ttcn:614 Message with id 35 was extracted from the queue of RTP. 10:13:46.648097 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.648366 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 108, time_stamp := '00000000000000000100001110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.648479 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006C00004380DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.648589 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.649167 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060003C9ED90A29C182322C0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 61 10:13:46.649335 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 60, time_stamp := '10011110110110010000101000101001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 61 10:13:46.649409 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.649451 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 60, time_stamp := '10011110110110010000101000101001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 61 10:13:46.649482 3 RTP_Emulation.ttcn:614 Message with id 61 was extracted from the queue of RTP. 10:13:46.650821 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.651097 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 133, time_stamp := '00000000000000000101001100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.651169 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060008500005320DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.651264 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.651735 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000234F6C8529C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 36 10:13:46.651891 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 35, time_stamp := '01001111011011001000010100101001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 36 10:13:46.651961 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.652000 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 35, time_stamp := '01001111011011001000010100101001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 36 10:13:46.652031 4 RTP_Emulation.ttcn:614 Message with id 36 was extracted from the queue of RTP. 10:13:46.668653 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.668909 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 109, time_stamp := '00000000000000000100010000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.668994 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006D00004420DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.669101 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.669667 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060003D9ED90B71C182322C0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 62 10:13:46.669833 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 61, time_stamp := '10011110110110010000101101110001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 62 10:13:46.669906 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.669947 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 61, time_stamp := '10011110110110010000101101110001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 62 10:13:46.670003 3 RTP_Emulation.ttcn:614 Message with id 62 was extracted from the queue of RTP. 10:13:46.671313 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.671582 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 134, time_stamp := '00000000000000000101001111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.671653 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80600086000053C0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.671748 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.672224 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000244F6C85CDC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 37 10:13:46.672381 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 36, time_stamp := '01001111011011001000010111001101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 37 10:13:46.672451 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.672490 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 36, time_stamp := '01001111011011001000010111001101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 37 10:13:46.672556 4 RTP_Emulation.ttcn:614 Message with id 37 was extracted from the queue of RTP. 10:13:46.689165 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.689434 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 110, time_stamp := '00000000000000000100010011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.689520 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006E000044C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.689629 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.690200 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060003E9ED90CBAC182322C0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 63 10:13:46.690405 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 62, time_stamp := '10011110110110010000110010111010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 63 10:13:46.690481 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.690523 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 62, time_stamp := '10011110110110010000110010111010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 63 10:13:46.690554 3 RTP_Emulation.ttcn:614 Message with id 63 was extracted from the queue of RTP. 10:13:46.691837 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.692111 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 135, time_stamp := '00000000000000000101010001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.692181 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060008700005460DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.692271 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.692745 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000254F6C8671C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 38 10:13:46.692900 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 37, time_stamp := '01001111011011001000011001110001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 38 10:13:46.692968 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.693008 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 37, time_stamp := '01001111011011001000011001110001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 38 10:13:46.693039 4 RTP_Emulation.ttcn:614 Message with id 38 was extracted from the queue of RTP. 10:13:46.709693 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.709959 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 111, time_stamp := '00000000000000000100010101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.710043 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070006F00004560DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.710152 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.710746 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060003F9ED90E03C182322C0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 64 10:13:46.710936 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 63, time_stamp := '10011110110110010000111000000011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 64 10:13:46.711011 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.711053 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 63, time_stamp := '10011110110110010000111000000011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 64 10:13:46.711084 3 RTP_Emulation.ttcn:614 Message with id 64 was extracted from the queue of RTP. 10:13:46.712365 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.712636 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 136, time_stamp := '00000000000000000101010100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.712708 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060008800005500DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.712801 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.713268 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000264F6C8716C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 39 10:13:46.713448 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 38, time_stamp := '01001111011011001000011100010110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 39 10:13:46.713517 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.713557 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 38, time_stamp := '01001111011011001000011100010110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 39 10:13:46.713587 4 RTP_Emulation.ttcn:614 Message with id 39 was extracted from the queue of RTP. 10:13:46.730216 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.730526 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 112, time_stamp := '00000000000000000100011000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.730613 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007000004600DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.730717 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.731194 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000409ED90F4BC182322C00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 65 10:13:46.731359 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 64, time_stamp := '10011110110110010000111101001011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 65 10:13:46.731431 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.731473 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 64, time_stamp := '10011110110110010000111101001011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 65 10:13:46.731504 3 RTP_Emulation.ttcn:614 Message with id 65 was extracted from the queue of RTP. 10:13:46.732828 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.732984 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 137, time_stamp := '00000000000000000101010110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.733038 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80600089000055A0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.733119 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.733602 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000274F6C87B8C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 40 10:13:46.733774 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 39, time_stamp := '01001111011011001000011110111000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 40 10:13:46.733848 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.733889 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 39, time_stamp := '01001111011011001000011110111000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 40 10:13:46.733945 4 RTP_Emulation.ttcn:614 Message with id 40 was extracted from the queue of RTP. 10:13:46.750766 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.750965 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 113, time_stamp := '00000000000000000100011010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.751040 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80700071000046A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.751124 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.751616 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000419ED91091C182322C0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 66 10:13:46.751770 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 65, time_stamp := '10011110110110010001000010010001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 66 10:13:46.751838 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.751877 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 65, time_stamp := '10011110110110010001000010010001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 66 10:13:46.751907 3 RTP_Emulation.ttcn:614 Message with id 66 was extracted from the queue of RTP. 10:13:46.753182 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.753443 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 138, time_stamp := '00000000000000000101011001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.753512 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060008A00005640DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.753597 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.754064 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000284F6C885CC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 41 10:13:46.754219 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 40, time_stamp := '01001111011011001000100001011100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 41 10:13:46.754288 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.754366 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 40, time_stamp := '01001111011011001000100001011100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 41 10:13:46.754396 4 RTP_Emulation.ttcn:614 Message with id 41 was extracted from the queue of RTP. 10:13:46.771188 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.771437 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 114, time_stamp := '00000000000000000100011101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.771522 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007200004740DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.771620 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.772164 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000429ED911DAC182322C024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 67 10:13:46.772330 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 66, time_stamp := '10011110110110010001000111011010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 67 10:13:46.772432 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.772474 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 66, time_stamp := '10011110110110010001000111011010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 67 10:13:46.772505 3 RTP_Emulation.ttcn:614 Message with id 67 was extracted from the queue of RTP. 10:13:46.773786 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.774058 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 139, time_stamp := '00000000000000000101011011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.774130 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060008B000056E0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.774217 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.774748 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000294F6C8901C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 42 10:13:46.774904 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 41, time_stamp := '01001111011011001000100100000001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 42 10:13:46.774994 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.775035 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 41, time_stamp := '01001111011011001000100100000001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 42 10:13:46.775065 4 RTP_Emulation.ttcn:614 Message with id 42 was extracted from the queue of RTP. 10:13:46.791685 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.791950 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 115, time_stamp := '00000000000000000100011111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.792033 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80700073000047E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.792143 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.792717 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000439ED91322C182322C0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 68 10:13:46.792883 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 67, time_stamp := '10011110110110010001001100100010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 68 10:13:46.792958 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.793000 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 67, time_stamp := '10011110110110010001001100100010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 68 10:13:46.793031 3 RTP_Emulation.ttcn:614 Message with id 68 was extracted from the queue of RTP. 10:13:46.794342 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.794614 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 140, time_stamp := '00000000000000000101011110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.794714 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060008C00005780DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.794803 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.795338 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002A4F6C89A6C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 43 10:13:46.795494 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 42, time_stamp := '01001111011011001000100110100110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 43 10:13:46.795563 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.795603 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 42, time_stamp := '01001111011011001000100110100110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 43 10:13:46.795633 4 RTP_Emulation.ttcn:614 Message with id 43 was extracted from the queue of RTP. 10:13:46.812192 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.812390 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 116, time_stamp := '00000000000000000100100010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.812488 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007400004880DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.812573 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.813058 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000449ED91468C182322C0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 69 10:13:46.813215 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 68, time_stamp := '10011110110110010001010001101000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 69 10:13:46.813284 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.813325 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 68, time_stamp := '10011110110110010001010001101000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 69 10:13:46.813355 3 RTP_Emulation.ttcn:614 Message with id 69 was extracted from the queue of RTP. 10:13:46.814853 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.815116 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 141, time_stamp := '00000000000000000101100000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.815184 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060008D00005820DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.815268 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.815728 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002B4F6C8A49C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 44 10:13:46.815883 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 43, time_stamp := '01001111011011001000101001001001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 44 10:13:46.815950 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.815990 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 43, time_stamp := '01001111011011001000101001001001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 44 10:13:46.816021 4 RTP_Emulation.ttcn:614 Message with id 44 was extracted from the queue of RTP. 10:13:46.832637 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.832900 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 117, time_stamp := '00000000000000000100100100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.832985 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007500004920DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.833092 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.833528 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000459ED915B0C182322C054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 70 10:13:46.833695 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 69, time_stamp := '10011110110110010001010110110000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 70 10:13:46.833767 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.833808 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 69, time_stamp := '10011110110110010001010110110000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 70 10:13:46.834037 3 RTP_Emulation.ttcn:614 Message with id 70 was extracted from the queue of RTP. 10:13:46.835295 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.835458 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 142, time_stamp := '00000000000000000101100011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.835514 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060008E000058C0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.835600 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.836094 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002C4F6C8AECC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 45 10:13:46.836270 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 44, time_stamp := '01001111011011001000101011101100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 45 10:13:46.836344 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.836385 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 44, time_stamp := '01001111011011001000101011101100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 45 10:13:46.836442 4 RTP_Emulation.ttcn:614 Message with id 45 was extracted from the queue of RTP. 10:13:46.853143 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.853348 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 118, time_stamp := '00000000000000000100100111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.853424 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80700076000049C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.853511 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.853875 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000469ED916F7C182322C0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 71 10:13:46.853935 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 70, time_stamp := '10011110110110010001011011110111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 71 10:13:46.853969 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.854005 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 70, time_stamp := '10011110110110010001011011110111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 71 10:13:46.854030 3 RTP_Emulation.ttcn:614 Message with id 71 was extracted from the queue of RTP. 10:13:46.855649 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.855911 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 143, time_stamp := '00000000000000000101100101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.855981 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060008F00005960DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.856066 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.856537 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002D4F6C8B90C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 46 10:13:46.856691 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 45, time_stamp := '01001111011011001000101110010000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 46 10:13:46.856759 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.856798 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 45, time_stamp := '01001111011011001000101110010000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 46 10:13:46.856829 4 RTP_Emulation.ttcn:614 Message with id 46 was extracted from the queue of RTP. 10:13:46.873574 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.873838 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 119, time_stamp := '00000000000000000100101001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.873922 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007700004A60DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.874031 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.874643 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000479ED91841C182322C07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 72 10:13:46.874833 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 71, time_stamp := '10011110110110010001100001000001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 72 10:13:46.874911 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.874952 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 71, time_stamp := '10011110110110010001100001000001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 72 10:13:46.874983 3 RTP_Emulation.ttcn:614 Message with id 72 was extracted from the queue of RTP. 10:13:46.876253 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.876524 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 144, time_stamp := '00000000000000000101101000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.876594 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009000005A00DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.876681 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.877153 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002E4F6C8C35C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 47 10:13:46.877338 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 46, time_stamp := '01001111011011001000110000110101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 47 10:13:46.877406 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.877445 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 46, time_stamp := '01001111011011001000110000110101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 47 10:13:46.877475 4 RTP_Emulation.ttcn:614 Message with id 47 was extracted from the queue of RTP. 10:13:46.894096 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.894405 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 120, time_stamp := '00000000000000000100101100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.894491 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007800004B00DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.894598 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.895175 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000489ED9198AC182322C084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 73 10:13:46.895341 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 72, time_stamp := '10011110110110010001100110001010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 73 10:13:46.895415 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.895459 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 72, time_stamp := '10011110110110010001100110001010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 73 10:13:46.895516 3 RTP_Emulation.ttcn:614 Message with id 73 was extracted from the queue of RTP. 10:13:46.896799 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.897071 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 145, time_stamp := '00000000000000000101101010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.897141 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009100005AA0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.897231 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.897710 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002F4F6C8CD9C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 48 10:13:46.897862 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 47, time_stamp := '01001111011011001000110011011001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 48 10:13:46.897931 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.897972 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 47, time_stamp := '01001111011011001000110011011001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 48 10:13:46.898024 4 RTP_Emulation.ttcn:614 Message with id 48 was extracted from the queue of RTP. 10:13:46.914662 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.914927 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 121, time_stamp := '00000000000000000100101110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.915012 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007900004BA0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.915118 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.915671 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000499ED91AD2C182322C094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 74 10:13:46.915838 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 73, time_stamp := '10011110110110010001101011010010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 74 10:13:46.915912 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.915954 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 73, time_stamp := '10011110110110010001101011010010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 74 10:13:46.915985 3 RTP_Emulation.ttcn:614 Message with id 74 was extracted from the queue of RTP. 10:13:46.917280 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.917548 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 146, time_stamp := '00000000000000000101101101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.917619 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009200005B40DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.917708 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.918183 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000304F6C8D7DC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 49 10:13:46.918373 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 48, time_stamp := '01001111011011001000110101111101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 49 10:13:46.918447 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.918487 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 48, time_stamp := '01001111011011001000110101111101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 49 10:13:46.918517 4 RTP_Emulation.ttcn:614 Message with id 49 was extracted from the queue of RTP. 10:13:46.935168 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.935368 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 122, time_stamp := '00000000000000000100110001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.935443 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007A00004C40DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.935528 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.936017 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060004A9ED91C17C182322C0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 75 10:13:46.936175 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 74, time_stamp := '10011110110110010001110000010111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 75 10:13:46.936269 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.936310 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 74, time_stamp := '10011110110110010001110000010111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 75 10:13:46.936341 3 RTP_Emulation.ttcn:614 Message with id 75 was extracted from the queue of RTP. 10:13:46.937757 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.938020 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 147, time_stamp := '00000000000000000101101111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.938090 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009300005BE0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.938174 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.938657 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000314F6C8E21C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 50 10:13:46.938812 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 49, time_stamp := '01001111011011001000111000100001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 50 10:13:46.938916 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.938957 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 49, time_stamp := '01001111011011001000111000100001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 50 10:13:46.938987 4 RTP_Emulation.ttcn:614 Message with id 50 was extracted from the queue of RTP. 10:13:46.955578 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.955776 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 123, time_stamp := '00000000000000000100110011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.955850 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007B00004CE0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.955935 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.956417 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060004B9ED91D5EC182322C0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 76 10:13:46.956576 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 75, time_stamp := '10011110110110010001110101011110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 76 10:13:46.956644 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.956685 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 75, time_stamp := '10011110110110010001110101011110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 76 10:13:46.956715 3 RTP_Emulation.ttcn:614 Message with id 76 was extracted from the queue of RTP. 10:13:46.958224 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.958514 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 148, time_stamp := '00000000000000000101110010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.958606 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009400005C80DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.958689 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.959148 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000324F6C8EC5C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 51 10:13:46.959303 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 50, time_stamp := '01001111011011001000111011000101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 51 10:13:46.959371 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.959411 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 50, time_stamp := '01001111011011001000111011000101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 51 10:13:46.959441 4 RTP_Emulation.ttcn:614 Message with id 51 was extracted from the queue of RTP. 10:13:46.976000 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.976261 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 124, time_stamp := '00000000000000000100110110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.976373 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007C00004D80DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.976478 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.977027 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060004C9ED91EA7C182322C0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 77 10:13:46.977195 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 76, time_stamp := '10011110110110010001111010100111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 77 10:13:46.977269 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.977310 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 76, time_stamp := '10011110110110010001111010100111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 77 10:13:46.977341 3 RTP_Emulation.ttcn:614 Message with id 77 was extracted from the queue of RTP. 10:13:46.978738 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.979013 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 149, time_stamp := '00000000000000000101110100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.979083 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009500005D20DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.979173 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.979656 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000334F6C8F69C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 52 10:13:46.979812 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 51, time_stamp := '01001111011011001000111101101001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 52 10:13:46.979882 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.979922 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 51, time_stamp := '01001111011011001000111101101001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 52 10:13:46.979952 4 RTP_Emulation.ttcn:614 Message with id 52 was extracted from the queue of RTP. 10:13:46.996541 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.996809 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 125, time_stamp := '00000000000000000100111000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:46.996894 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007D00004E20DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:46.997000 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:46.997554 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060004D9ED91FF0C182322C0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 78 10:13:46.997721 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 77, time_stamp := '10011110110110010001111111110000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 78 10:13:46.997821 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:46.997863 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 77, time_stamp := '10011110110110010001111111110000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 78 10:13:46.997895 3 RTP_Emulation.ttcn:614 Message with id 78 was extracted from the queue of RTP. 10:13:46.999222 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:46.999494 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 150, time_stamp := '00000000000000000101110111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:46.999564 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009600005DC0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:46.999649 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.000185 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000344F6C900DC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 53 10:13:47.000342 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 52, time_stamp := '01001111011011001001000000001101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 53 10:13:47.000412 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.000452 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 52, time_stamp := '01001111011011001001000000001101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 53 10:13:47.000504 4 RTP_Emulation.ttcn:614 Message with id 53 was extracted from the queue of RTP. 10:13:47.017050 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.017250 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 126, time_stamp := '00000000000000000100111011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.017325 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007E00004EC0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.017409 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.017895 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060004E9ED92136C182322C0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 79 10:13:47.018053 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 78, time_stamp := '10011110110110010010000100110110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 79 10:13:47.018122 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.018162 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 78, time_stamp := '10011110110110010010000100110110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 79 10:13:47.018192 3 RTP_Emulation.ttcn:614 Message with id 79 was extracted from the queue of RTP. 10:13:47.019696 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.019960 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 151, time_stamp := '00000000000000000101111001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.020028 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009700005E60DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.020141 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.020600 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000354F6C90B0C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 54 10:13:47.020757 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 53, time_stamp := '01001111011011001001000010110000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 54 10:13:47.020826 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.020866 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 53, time_stamp := '01001111011011001001000010110000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 54 10:13:47.020896 4 RTP_Emulation.ttcn:614 Message with id 54 was extracted from the queue of RTP. 10:13:47.037458 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.037656 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 127, time_stamp := '00000000000000000100111101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.037730 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070007F00004F60DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.037817 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.038325 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060004F9ED9227CC182322C0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 80 10:13:47.038491 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 79, time_stamp := '10011110110110010010001001111100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 80 10:13:47.038561 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.038602 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 79, time_stamp := '10011110110110010010001001111100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 80 10:13:47.038633 3 RTP_Emulation.ttcn:614 Message with id 80 was extracted from the queue of RTP. 10:13:47.040190 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.040452 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 152, time_stamp := '00000000000000000101111100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.040522 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009800005F00DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.040605 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.041061 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000364F6C9154C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 55 10:13:47.041242 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 54, time_stamp := '01001111011011001001000101010100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 55 10:13:47.041310 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.041351 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 54, time_stamp := '01001111011011001001000101010100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 55 10:13:47.041381 4 RTP_Emulation.ttcn:614 Message with id 55 was extracted from the queue of RTP. 10:13:47.057866 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.058066 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 128, time_stamp := '00000000000000000101000000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.058141 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070008000005000DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.058226 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.058735 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000509ED923C3C182322C00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 81 10:13:47.058894 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 80, time_stamp := '10011110110110010010001111000011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 81 10:13:47.058962 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.059002 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 80, time_stamp := '10011110110110010010001111000011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 81 10:13:47.059057 3 RTP_Emulation.ttcn:614 Message with id 81 was extracted from the queue of RTP. 10:13:47.060653 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.060917 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 153, time_stamp := '00000000000000000101111110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.060989 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009900005FA0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.061072 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.061540 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000374F6C91F8C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 56 10:13:47.061700 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 55, time_stamp := '01001111011011001001000111111000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 56 10:13:47.061786 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.061827 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 55, time_stamp := '01001111011011001001000111111000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 56 10:13:47.061879 4 RTP_Emulation.ttcn:614 Message with id 56 was extracted from the queue of RTP. 10:13:47.078291 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.078600 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 129, time_stamp := '00000000000000000101000010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.078686 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80700081000050A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.078792 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.079351 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000519ED9250DC182322C0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 82 10:13:47.079518 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 81, time_stamp := '10011110110110010010010100001101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 82 10:13:47.079593 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.079635 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 81, time_stamp := '10011110110110010010010100001101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 82 10:13:47.079667 3 RTP_Emulation.ttcn:614 Message with id 82 was extracted from the queue of RTP. 10:13:47.081121 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.081394 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 154, time_stamp := '00000000000000000110000001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.081466 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009A00006040DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.081554 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.082022 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000384F6C929CC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 57 10:13:47.082178 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 56, time_stamp := '01001111011011001001001010011100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 57 10:13:47.082247 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.082286 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 56, time_stamp := '01001111011011001001001010011100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 57 10:13:47.082356 4 RTP_Emulation.ttcn:614 Message with id 57 was extracted from the queue of RTP. 10:13:47.098855 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.099122 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 130, time_stamp := '00000000000000000101000101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.099206 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070008200005140DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.099314 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.099881 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000529ED92655C182322C024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 83 10:13:47.100072 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 82, time_stamp := '10011110110110010010011001010101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 83 10:13:47.100147 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.100190 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 82, time_stamp := '10011110110110010010011001010101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 83 10:13:47.100222 3 RTP_Emulation.ttcn:614 Message with id 83 was extracted from the queue of RTP. 10:13:47.101603 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.101876 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 155, time_stamp := '00000000000000000110000011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.101947 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009B000060E0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.102037 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.102489 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000394F6C933FC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 58 10:13:47.102645 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 57, time_stamp := '01001111011011001001001100111111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 58 10:13:47.102737 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.102778 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 57, time_stamp := '01001111011011001001001100111111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 58 10:13:47.102808 4 RTP_Emulation.ttcn:614 Message with id 58 was extracted from the queue of RTP. 10:13:47.119364 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.119564 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 131, time_stamp := '00000000000000000101000111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.119640 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80700083000051E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.119735 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.120217 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000539ED9279BC182322C0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 84 10:13:47.120373 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 83, time_stamp := '10011110110110010010011110011011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 84 10:13:47.120441 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.120480 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 83, time_stamp := '10011110110110010010011110011011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 84 10:13:47.120511 3 RTP_Emulation.ttcn:614 Message with id 84 was extracted from the queue of RTP. 10:13:47.122085 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.122377 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 156, time_stamp := '00000000000000000110000110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.122451 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009C00006180DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.122535 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.122996 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070003A4F6C93E3C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 59 10:13:47.123176 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 58, time_stamp := '01001111011011001001001111100011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 59 10:13:47.123253 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.123294 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 58, time_stamp := '01001111011011001001001111100011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 59 10:13:47.123324 4 RTP_Emulation.ttcn:614 Message with id 59 was extracted from the queue of RTP. 10:13:47.139770 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.139841 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 132, time_stamp := '00000000000000000101001010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.140038 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070008400005280DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.140068 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.140239 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000549ED928DEC182322C0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 85 10:13:47.140287 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 84, time_stamp := '10011110110110010010100011011110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 85 10:13:47.140308 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.140320 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 84, time_stamp := '10011110110110010010100011011110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 85 10:13:47.140329 3 RTP_Emulation.ttcn:614 Message with id 85 was extracted from the queue of RTP. 10:13:47.142559 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.142626 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 157, time_stamp := '00000000000000000110001000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.142649 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009D00006220DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.142681 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.142954 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070003B4F6C9484C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 60 10:13:47.143033 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 59, time_stamp := '01001111011011001001010010000100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 60 10:13:47.143065 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.143083 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 59, time_stamp := '01001111011011001001010010000100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 60 10:13:47.143095 4 RTP_Emulation.ttcn:614 Message with id 60 was extracted from the queue of RTP. 10:13:47.160101 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.160270 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 133, time_stamp := '00000000000000000101001100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.160347 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070008500005320DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.160433 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.160601 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000559ED92A23C182322C054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 86 10:13:47.160653 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 85, time_stamp := '10011110110110010010101000100011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 86 10:13:47.160704 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.160728 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 85, time_stamp := '10011110110110010010101000100011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 86 10:13:47.160745 3 RTP_Emulation.ttcn:614 Message with id 86 was extracted from the queue of RTP. 10:13:47.162693 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.162788 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 158, time_stamp := '00000000000000000110001011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.162824 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009E000062C0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.162872 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.163106 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070003C4F6C9525C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 61 10:13:47.163233 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 60, time_stamp := '01001111011011001001010100100101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 61 10:13:47.163307 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.163354 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 60, time_stamp := '01001111011011001001010100100101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 61 10:13:47.163419 4 RTP_Emulation.ttcn:614 Message with id 61 was extracted from the queue of RTP. 10:13:47.180479 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.180629 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 134, time_stamp := '00000000000000000101001111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.180684 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80700086000053C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.180740 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.180999 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000569ED92B68C182322C0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 87 10:13:47.181095 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 86, time_stamp := '10011110110110010010101101101000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 87 10:13:47.181136 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.181161 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 86, time_stamp := '10011110110110010010101101101000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 87 10:13:47.181178 3 RTP_Emulation.ttcn:614 Message with id 87 was extracted from the queue of RTP. 10:13:47.182901 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.183030 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 159, time_stamp := '00000000000000000110001101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.183079 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8060009F00006360DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.183122 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.183303 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070003D4F6C95C7C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 62 10:13:47.183381 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 61, time_stamp := '01001111011011001001010111000111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 62 10:13:47.183414 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.183434 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 61, time_stamp := '01001111011011001001010111000111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 62 10:13:47.183451 4 RTP_Emulation.ttcn:614 Message with id 62 was extracted from the queue of RTP. 10:13:47.200780 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.200978 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 135, time_stamp := '00000000000000000101010001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.201051 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070008700005460DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.201137 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.201423 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000579ED92CAFC182322C07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 88 10:13:47.201575 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 87, time_stamp := '10011110110110010010110010101111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 88 10:13:47.201642 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.201682 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 87, time_stamp := '10011110110110010010110010101111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 88 10:13:47.201712 3 RTP_Emulation.ttcn:614 Message with id 88 was extracted from the queue of RTP. 10:13:47.203140 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.203295 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 160, time_stamp := '00000000000000000110010000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.203350 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000A000006400DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.203427 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.203777 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070003E4F6C966AC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 63 10:13:47.203938 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 62, time_stamp := '01001111011011001001011001101010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 63 10:13:47.204007 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.204046 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 62, time_stamp := '01001111011011001001011001101010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 63 10:13:47.204077 4 RTP_Emulation.ttcn:614 Message with id 63 was extracted from the queue of RTP. 10:13:47.221285 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.221485 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 136, time_stamp := '00000000000000000101010100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.221559 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070008800005500DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.221645 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.221928 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000589ED92DF7C182322C084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 89 10:13:47.222082 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 88, time_stamp := '10011110110110010010110111110111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 89 10:13:47.222150 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.222191 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 88, time_stamp := '10011110110110010010110111110111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 89 10:13:47.222247 3 RTP_Emulation.ttcn:614 Message with id 89 was extracted from the queue of RTP. 10:13:47.223513 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.223775 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 161, time_stamp := '00000000000000000110010010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.223845 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000A1000064A0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.223929 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.224205 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070003F4F6C970DC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 64 10:13:47.224359 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 63, time_stamp := '01001111011011001001011100001101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 64 10:13:47.224426 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.224466 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 63, time_stamp := '01001111011011001001011100001101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 64 10:13:47.224518 4 RTP_Emulation.ttcn:614 Message with id 64 was extracted from the queue of RTP. 10:13:47.241754 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.242015 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 137, time_stamp := '00000000000000000101010110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.242101 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '80700089000055A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.242213 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.242722 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000599ED92F43C182322C094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 90 10:13:47.242889 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 89, time_stamp := '10011110110110010010111101000011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 90 10:13:47.242963 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.243004 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 89, time_stamp := '10011110110110010010111101000011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 90 10:13:47.243036 3 RTP_Emulation.ttcn:614 Message with id 90 was extracted from the queue of RTP. 10:13:47.243955 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.244109 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 162, time_stamp := '00000000000000000110010101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.244165 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000A200006540DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.244250 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.244731 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000404F6C97B1C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 65 10:13:47.244888 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 64, time_stamp := '01001111011011001001011110110001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 65 10:13:47.244956 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.244995 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 64, time_stamp := '01001111011011001001011110110001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 65 10:13:47.245025 4 RTP_Emulation.ttcn:614 Message with id 65 was extracted from the queue of RTP. 10:13:47.262338 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.262607 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 138, time_stamp := '00000000000000000101011001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.262691 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070008A00005640DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.262797 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.263373 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060005A9ED9308CC182322C0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 91 10:13:47.263540 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 90, time_stamp := '10011110110110010011000010001100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 91 10:13:47.263613 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.263655 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 90, time_stamp := '10011110110110010011000010001100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 91 10:13:47.263686 3 RTP_Emulation.ttcn:614 Message with id 91 was extracted from the queue of RTP. 10:13:47.264298 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.264455 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 163, time_stamp := '00000000000000000110010111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.264510 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000A3000065E0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.264597 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.265063 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000414F6C9854C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 66 10:13:47.265217 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 65, time_stamp := '01001111011011001001100001010100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 66 10:13:47.265311 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.265352 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 65, time_stamp := '01001111011011001001100001010100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 66 10:13:47.265382 4 RTP_Emulation.ttcn:614 Message with id 66 was extracted from the queue of RTP. 10:13:47.282862 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.283126 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 139, time_stamp := '00000000000000000101011011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.283213 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070008B000056E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.283322 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.283863 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060005B9ED931D5C182322C0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 92 10:13:47.284029 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 91, time_stamp := '10011110110110010011000111010101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 92 10:13:47.284103 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.284145 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 91, time_stamp := '10011110110110010011000111010101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 92 10:13:47.284200 3 RTP_Emulation.ttcn:614 Message with id 92 was extracted from the queue of RTP. 10:13:47.284622 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.284775 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 164, time_stamp := '00000000000000000110011010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.284831 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000A400006680DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.284919 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.285382 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000424F6C98F6C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 67 10:13:47.285538 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 66, time_stamp := '01001111011011001001100011110110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 67 10:13:47.285607 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.285646 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 66, time_stamp := '01001111011011001001100011110110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 67 10:13:47.285675 4 RTP_Emulation.ttcn:614 Message with id 67 was extracted from the queue of RTP. 10:13:47.303386 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.303651 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 140, time_stamp := '00000000000000000101011110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.303764 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070008C00005780DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.303871 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.304971 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.305271 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 165, time_stamp := '00000000000000000110011100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.305344 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000A500006720DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.305434 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.305520 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060005C9ED9331EC182322C0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 93 10:13:47.305591 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 92, time_stamp := '10011110110110010011001100011110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 93 10:13:47.305635 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.305674 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 92, time_stamp := '10011110110110010011001100011110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 93 10:13:47.305705 3 RTP_Emulation.ttcn:614 Message with id 93 was extracted from the queue of RTP. 10:13:47.305914 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000434F6C999BC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 68 10:13:47.306067 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 67, time_stamp := '01001111011011001001100110011011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 68 10:13:47.306136 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.306175 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 67, time_stamp := '01001111011011001001100110011011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 68 10:13:47.306205 4 RTP_Emulation.ttcn:614 Message with id 68 was extracted from the queue of RTP. 10:13:47.323935 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.324201 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 141, time_stamp := '00000000000000000101100000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.324285 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070008D00005820DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.324393 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.324984 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060005D9ED93466C182322C0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 94 10:13:47.325150 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 93, time_stamp := '10011110110110010011010001100110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 94 10:13:47.325247 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.325289 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 93, time_stamp := '10011110110110010011010001100110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 94 10:13:47.325320 3 RTP_Emulation.ttcn:614 Message with id 94 was extracted from the queue of RTP. 10:13:47.325461 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.325613 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 166, time_stamp := '00000000000000000110011111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.325669 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000A6000067C0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.325753 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.326227 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000444F6C9A3DC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 69 10:13:47.326426 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 68, time_stamp := '01001111011011001001101000111101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 69 10:13:47.326496 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.326536 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 68, time_stamp := '01001111011011001001101000111101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 69 10:13:47.326594 4 RTP_Emulation.ttcn:614 Message with id 69 was extracted from the queue of RTP. 10:13:47.344456 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.344716 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 142, time_stamp := '00000000000000000101100011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.344800 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070008E000058C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.344908 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.345804 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.346104 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 167, time_stamp := '00000000000000000110100001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.346177 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000A700006860DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.346271 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.346391 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060005E9ED935AEC182322C0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 95 10:13:47.346466 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 94, time_stamp := '10011110110110010011010110101110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 95 10:13:47.346510 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.346576 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 94, time_stamp := '10011110110110010011010110101110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 95 10:13:47.346608 3 RTP_Emulation.ttcn:614 Message with id 95 was extracted from the queue of RTP. 10:13:47.346765 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000454F6C9AE1C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 70 10:13:47.346942 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 69, time_stamp := '01001111011011001001101011100001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 70 10:13:47.347017 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.347058 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 69, time_stamp := '01001111011011001001101011100001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 70 10:13:47.347090 4 RTP_Emulation.ttcn:614 Message with id 70 was extracted from the queue of RTP. 10:13:47.364972 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.365239 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 143, time_stamp := '00000000000000000101100101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.365324 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070008F00005960DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.365434 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.366355 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.366652 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 168, time_stamp := '00000000000000000110100100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.366725 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000A800006900DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.366822 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.366910 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060005F9ED936F7C182322C0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 96 10:13:47.366980 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 95, time_stamp := '10011110110110010011011011110111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 96 10:13:47.367024 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.367064 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 95, time_stamp := '10011110110110010011011011110111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 96 10:13:47.367094 3 RTP_Emulation.ttcn:614 Message with id 96 was extracted from the queue of RTP. 10:13:47.367294 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000464F6C9B86C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 71 10:13:47.367451 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 70, time_stamp := '01001111011011001001101110000110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 71 10:13:47.367520 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.367559 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 70, time_stamp := '01001111011011001001101110000110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 71 10:13:47.367589 4 RTP_Emulation.ttcn:614 Message with id 71 was extracted from the queue of RTP. 10:13:47.385525 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.385787 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 144, time_stamp := '00000000000000000101101000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.385872 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009000005A00DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.385981 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.386875 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.387175 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 169, time_stamp := '00000000000000000110100110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.387248 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000A9000069A0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.387335 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.387451 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000609ED93840C182322C00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 97 10:13:47.387521 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 96, time_stamp := '10011110110110010011100001000000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 97 10:13:47.387566 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.387606 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 96, time_stamp := '10011110110110010011100001000000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 97 10:13:47.387635 3 RTP_Emulation.ttcn:614 Message with id 97 was extracted from the queue of RTP. 10:13:47.387805 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000474F6C9C2AC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 72 10:13:47.387959 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 71, time_stamp := '01001111011011001001110000101010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 72 10:13:47.388028 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.388068 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 71, time_stamp := '01001111011011001001110000101010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 72 10:13:47.388122 4 RTP_Emulation.ttcn:614 Message with id 72 was extracted from the queue of RTP. 10:13:47.406031 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.406230 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 145, time_stamp := '00000000000000000101101010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.406337 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009100005AA0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.406508 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.406899 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000619ED93986C182322C0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 98 10:13:47.407057 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 97, time_stamp := '10011110110110010011100110000110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 98 10:13:47.407124 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.407164 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 97, time_stamp := '10011110110110010011100110000110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 98 10:13:47.407193 3 RTP_Emulation.ttcn:614 Message with id 98 was extracted from the queue of RTP. 10:13:47.407389 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.407533 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 170, time_stamp := '00000000000000000110101001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.407586 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000AA00006A40DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.407688 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.408227 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000484F6C9CCDC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 73 10:13:47.408381 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 72, time_stamp := '01001111011011001001110011001101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 73 10:13:47.408450 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.408489 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 72, time_stamp := '01001111011011001001110011001101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 73 10:13:47.408519 4 RTP_Emulation.ttcn:614 Message with id 73 was extracted from the queue of RTP. 10:13:47.426776 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.426973 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 146, time_stamp := '00000000000000000101101101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.427046 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009200005B40DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.427131 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.427735 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.427997 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 171, time_stamp := '00000000000000000110101011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.428067 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000AB00006AE0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.428153 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.428234 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000629ED93AD1C182322C024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 99 10:13:47.428301 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 98, time_stamp := '10011110110110010011101011010001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 99 10:13:47.428343 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.428381 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 98, time_stamp := '10011110110110010011101011010001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 99 10:13:47.428410 3 RTP_Emulation.ttcn:614 Message with id 99 was extracted from the queue of RTP. 10:13:47.428602 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000494F6C9D70C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 74 10:13:47.428754 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 73, time_stamp := '01001111011011001001110101110000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 74 10:13:47.428853 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.428894 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 73, time_stamp := '01001111011011001001110101110000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 74 10:13:47.428924 4 RTP_Emulation.ttcn:614 Message with id 74 was extracted from the queue of RTP. 10:13:47.447193 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.447459 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 147, time_stamp := '00000000000000000101101111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.447545 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009300005BE0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.447658 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.448205 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.448501 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 172, time_stamp := '00000000000000000110101110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.448574 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000AC00006B80DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.448667 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.448754 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000639ED93C1AC182322C0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 100 10:13:47.448823 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 99, time_stamp := '10011110110110010011110000011010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 100 10:13:47.448895 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.448936 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 99, time_stamp := '10011110110110010011110000011010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 100 10:13:47.448965 3 RTP_Emulation.ttcn:614 Message with id 100 was extracted from the queue of RTP. 10:13:47.449140 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070004A4F6C9E14C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 75 10:13:47.449296 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 74, time_stamp := '01001111011011001001111000010100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 75 10:13:47.449365 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.449405 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 74, time_stamp := '01001111011011001001111000010100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 75 10:13:47.449435 4 RTP_Emulation.ttcn:614 Message with id 75 was extracted from the queue of RTP. 10:13:47.467722 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.467992 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 148, time_stamp := '00000000000000000101110010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.468117 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009400005C80DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.468227 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.468721 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.469018 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 173, time_stamp := '00000000000000000110110000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.469089 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000AD00006C20DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.469179 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.469265 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000649ED93D63C182322C0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 101 10:13:47.469335 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 100, time_stamp := '10011110110110010011110101100011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 101 10:13:47.469379 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.469420 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 100, time_stamp := '10011110110110010011110101100011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 101 10:13:47.469448 3 RTP_Emulation.ttcn:614 Message with id 101 was extracted from the queue of RTP. 10:13:47.469665 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070004B4F6C9EB9C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 76 10:13:47.469819 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 75, time_stamp := '01001111011011001001111010111001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 76 10:13:47.469887 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.469927 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 75, time_stamp := '01001111011011001001111010111001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 76 10:13:47.469957 4 RTP_Emulation.ttcn:614 Message with id 76 was extracted from the queue of RTP. 10:13:47.488291 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.488560 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 149, time_stamp := '00000000000000000101110100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.488644 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009500005D20DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.488752 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.489231 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.489526 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 174, time_stamp := '00000000000000000110110011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.489628 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000AE00006CC0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.489720 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.489807 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000659ED93EACC182322C054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 102 10:13:47.489878 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 101, time_stamp := '10011110110110010011111010101100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 102 10:13:47.489922 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.489961 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 101, time_stamp := '10011110110110010011111010101100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 102 10:13:47.489990 3 RTP_Emulation.ttcn:614 Message with id 102 was extracted from the queue of RTP. 10:13:47.490194 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070004C4F6C9F5DC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 77 10:13:47.490395 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 76, time_stamp := '01001111011011001001111101011101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 77 10:13:47.490468 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.490508 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 76, time_stamp := '01001111011011001001111101011101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 77 10:13:47.490558 4 RTP_Emulation.ttcn:614 Message with id 77 was extracted from the queue of RTP. 10:13:47.508867 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.509135 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 150, time_stamp := '00000000000000000101110111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.509220 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009600005DC0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.509330 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.509773 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.510071 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 175, time_stamp := '00000000000000000110110101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.510144 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000AF00006D60DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.510232 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.510382 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000669ED93FF5C182322C0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 103 10:13:47.510455 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 102, time_stamp := '10011110110110010011111111110101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 103 10:13:47.510525 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.510566 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 102, time_stamp := '10011110110110010011111111110101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 103 10:13:47.510596 3 RTP_Emulation.ttcn:614 Message with id 103 was extracted from the queue of RTP. 10:13:47.510711 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070004D4F6CA001C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 78 10:13:47.510865 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 77, time_stamp := '01001111011011001010000000000001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 78 10:13:47.510934 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.510974 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 77, time_stamp := '01001111011011001010000000000001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 78 10:13:47.511005 4 RTP_Emulation.ttcn:614 Message with id 78 was extracted from the queue of RTP. 10:13:47.529394 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.529662 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 151, time_stamp := '00000000000000000101111001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.529747 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009700005E60DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.529857 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.530284 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.530607 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 176, time_stamp := '00000000000000000110111000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.530680 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000B000006E00DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.530772 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.530860 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000679ED9413EC182322C07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 104 10:13:47.530930 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 103, time_stamp := '10011110110110010100000100111110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 104 10:13:47.530973 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.531012 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 103, time_stamp := '10011110110110010100000100111110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 104 10:13:47.531042 3 RTP_Emulation.ttcn:614 Message with id 104 was extracted from the queue of RTP. 10:13:47.531376 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070004E4F6CA0A6C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 79 10:13:47.531562 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 78, time_stamp := '01001111011011001010000010100110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 79 10:13:47.531665 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.531733 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 78, time_stamp := '01001111011011001010000010100110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 79 10:13:47.531785 4 RTP_Emulation.ttcn:614 Message with id 79 was extracted from the queue of RTP. 10:13:47.550031 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.550354 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 152, time_stamp := '00000000000000000101111100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.550546 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009800005F00DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.550700 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.550843 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.551216 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 177, time_stamp := '00000000000000000110111010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.551324 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000B100006EA0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.551434 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.551526 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000689ED9428BC182322C084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 105 10:13:47.551597 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 104, time_stamp := '10011110110110010100001010001011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 105 10:13:47.551642 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.551681 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 104, time_stamp := '10011110110110010100001010001011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 105 10:13:47.551711 3 RTP_Emulation.ttcn:614 Message with id 105 was extracted from the queue of RTP. 10:13:47.551930 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070004F4F6CA14BC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 80 10:13:47.552086 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 79, time_stamp := '01001111011011001010000101001011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 80 10:13:47.552182 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.552251 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 79, time_stamp := '01001111011011001010000101001011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 80 10:13:47.552339 4 RTP_Emulation.ttcn:614 Message with id 80 was extracted from the queue of RTP. 10:13:47.570784 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.571020 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 153, time_stamp := '00000000000000000101111110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.571129 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009900005FA0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.571253 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.571484 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.571758 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 178, time_stamp := '00000000000000000110111101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.571830 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000B200006F40DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.571915 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.572004 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000699ED943D4C182322C094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 106 10:13:47.572075 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 105, time_stamp := '10011110110110010100001111010100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 106 10:13:47.572121 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.572162 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 105, time_stamp := '10011110110110010100001111010100'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 106 10:13:47.572218 3 RTP_Emulation.ttcn:614 Message with id 106 was extracted from the queue of RTP. 10:13:47.572500 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000504F6CA1EFC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 81 10:13:47.572683 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 80, time_stamp := '01001111011011001010000111101111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 81 10:13:47.572786 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.572854 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 80, time_stamp := '01001111011011001010000111101111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 81 10:13:47.572905 4 RTP_Emulation.ttcn:614 Message with id 81 was extracted from the queue of RTP. 10:13:47.591335 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.591571 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 154, time_stamp := '00000000000000000110000001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.591677 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009A00006040DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.591803 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.591965 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.592233 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 179, time_stamp := '00000000000000000110111111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.592303 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000B300006FE0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.592388 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.592476 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060006A9ED9451DC182322C0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 107 10:13:47.592548 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 106, time_stamp := '10011110110110010100010100011101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 107 10:13:47.592593 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.592634 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 106, time_stamp := '10011110110110010100010100011101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 107 10:13:47.592664 3 RTP_Emulation.ttcn:614 Message with id 107 was extracted from the queue of RTP. 10:13:47.592992 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000514F6CA293C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 82 10:13:47.593175 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 81, time_stamp := '01001111011011001010001010010011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 82 10:13:47.593303 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.593374 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 81, time_stamp := '01001111011011001010001010010011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 82 10:13:47.593427 4 RTP_Emulation.ttcn:614 Message with id 82 was extracted from the queue of RTP. 10:13:47.611900 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.612207 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 155, time_stamp := '00000000000000000110000011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.612327 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009B000060E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.612456 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.612481 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.612844 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 180, time_stamp := '00000000000000000111000010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.612926 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000B400007080DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.613051 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.613147 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060006B9ED94668C182322C0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 108 10:13:47.613250 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 107, time_stamp := '10011110110110010100011001101000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 108 10:13:47.613296 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.613336 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 107, time_stamp := '10011110110110010100011001101000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0B40EBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 108 10:13:47.613367 3 RTP_Emulation.ttcn:614 Message with id 108 was extracted from the queue of RTP. 10:13:47.613694 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000524F6CA339C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 83 10:13:47.613865 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 82, time_stamp := '01001111011011001010001100111001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 83 10:13:47.613963 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.614032 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 82, time_stamp := '01001111011011001010001100111001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 83 10:13:47.614084 4 RTP_Emulation.ttcn:614 Message with id 83 was extracted from the queue of RTP. 10:13:47.632586 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.632893 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 156, time_stamp := '00000000000000000110000110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.633048 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009C00006180DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.633121 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.633206 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.633512 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 181, time_stamp := '00000000000000000111000100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.633594 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000B500007120DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.633713 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.633807 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060006C9ED947B3C182322C0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 109 10:13:47.633880 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 108, time_stamp := '10011110110110010100011110110011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 109 10:13:47.633925 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.633965 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 108, time_stamp := '10011110110110010100011110110011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0C408FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 109 10:13:47.634025 3 RTP_Emulation.ttcn:614 Message with id 109 was extracted from the queue of RTP. 10:13:47.634221 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000534F6CA3DDC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 84 10:13:47.634563 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 83, time_stamp := '01001111011011001010001111011101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 84 10:13:47.634714 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.634762 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 83, time_stamp := '01001111011011001010001111011101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 84 10:13:47.634794 4 RTP_Emulation.ttcn:614 Message with id 84 was extracted from the queue of RTP. 10:13:47.653310 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.653575 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 157, time_stamp := '00000000000000000110001000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.653661 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009D00006220DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.653768 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.653774 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.654091 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 182, time_stamp := '00000000000000000111000111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.654164 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000B6000071C0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.654267 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.654418 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060006D9ED948FDC182322C0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 110 10:13:47.654492 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 109, time_stamp := '10011110110110010100100011111101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 110 10:13:47.654537 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.654577 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 109, time_stamp := '10011110110110010100100011111101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0D406FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 110 10:13:47.654608 3 RTP_Emulation.ttcn:614 Message with id 110 was extracted from the queue of RTP. 10:13:47.654920 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000544F6CA482C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 85 10:13:47.655075 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 84, time_stamp := '01001111011011001010010010000010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 85 10:13:47.655144 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.655208 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 84, time_stamp := '01001111011011001010010010000010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 85 10:13:47.655238 4 RTP_Emulation.ttcn:614 Message with id 85 was extracted from the queue of RTP. 10:13:47.673833 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.674104 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 158, time_stamp := '00000000000000000110001011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.674190 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009E000062C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.674341 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.674363 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.674685 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 183, time_stamp := '00000000000000000111001001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.674759 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000B700007260DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.674848 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.674934 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060006E9ED94A45C182322C0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 111 10:13:47.675005 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 110, time_stamp := '10011110110110010100101001000101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 111 10:13:47.675080 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.675121 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 110, time_stamp := '10011110110110010100101001000101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0E40F3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 111 10:13:47.675152 3 RTP_Emulation.ttcn:614 Message with id 111 was extracted from the queue of RTP. 10:13:47.675327 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000554F6CA526C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 86 10:13:47.675479 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 85, time_stamp := '01001111011011001010010100100110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 86 10:13:47.675548 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.675588 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 85, time_stamp := '01001111011011001010010100100110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 86 10:13:47.675618 4 RTP_Emulation.ttcn:614 Message with id 86 was extracted from the queue of RTP. 10:13:47.694410 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.694682 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 159, time_stamp := '00000000000000000110001101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.694768 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '8070009F00006360DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.694877 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.694910 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.695235 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 184, time_stamp := '00000000000000000111001100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.695308 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000B800007300DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.695397 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.695483 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060006F9ED94B8EC182322C0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 112 10:13:47.695551 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 111, time_stamp := '10011110110110010100101110001110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 112 10:13:47.695595 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.695635 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 111, time_stamp := '10011110110110010100101110001110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0F4013FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 112 10:13:47.695665 3 RTP_Emulation.ttcn:614 Message with id 112 was extracted from the queue of RTP. 10:13:47.695862 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000564F6CA5CAC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 87 10:13:47.696015 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 86, time_stamp := '01001111011011001010010111001010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 87 10:13:47.696083 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.696122 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 86, time_stamp := '01001111011011001010010111001010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 87 10:13:47.696152 4 RTP_Emulation.ttcn:614 Message with id 87 was extracted from the queue of RTP. 10:13:47.714969 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.715246 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 160, time_stamp := '00000000000000000110010000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.715331 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '807000A000006400DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.715440 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.715458 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.715782 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 185, time_stamp := '00000000000000000111001110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.715881 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000B9000073A0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.715970 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.716057 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000709ED94CD7C182322C00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 113 10:13:47.716129 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 112, time_stamp := '10011110110110010100110011010111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 113 10:13:47.716174 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.716214 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 112, time_stamp := '10011110110110010100110011010111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '00403BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 113 10:13:47.716243 3 RTP_Emulation.ttcn:614 Message with id 113 was extracted from the queue of RTP. 10:13:47.716437 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000574F6CA66FC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 88 10:13:47.716588 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 87, time_stamp := '01001111011011001010011001101111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 88 10:13:47.716655 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.716694 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 87, time_stamp := '01001111011011001010011001101111'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 88 10:13:47.716747 4 RTP_Emulation.ttcn:614 Message with id 88 was extracted from the queue of RTP. 10:13:47.735505 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.735773 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 161, time_stamp := '00000000000000000110010010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.735861 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '807000A1000064A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.735972 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.736031 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.736346 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 186, time_stamp := '00000000000000000111010001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.736420 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000BA00007440DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.736510 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.736598 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000719ED94E1FC182322C0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 114 10:13:47.736668 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 113, time_stamp := '10011110110110010100111000011111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 114 10:13:47.736740 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.736780 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 113, time_stamp := '10011110110110010100111000011111'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0140DBFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 114 10:13:47.736811 3 RTP_Emulation.ttcn:614 Message with id 114 was extracted from the queue of RTP. 10:13:47.736995 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000584F6CA713C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 89 10:13:47.737148 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 88, time_stamp := '01001111011011001010011100010011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 89 10:13:47.737216 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.737256 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 88, time_stamp := '01001111011011001010011100010011'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 89 10:13:47.737286 4 RTP_Emulation.ttcn:614 Message with id 89 was extracted from the queue of RTP. 10:13:47.756038 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.756306 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 162, time_stamp := '00000000000000000110010101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.756392 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '807000A200006540DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.756502 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.756573 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.756893 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 187, time_stamp := '00000000000000000111010011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.756965 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000BB000074E0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.757054 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.757140 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000729ED94F68C182322C024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 115 10:13:47.757210 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 114, time_stamp := '10011110110110010100111101101000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 115 10:13:47.757253 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.757293 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 114, time_stamp := '10011110110110010100111101101000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '024047FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 115 10:13:47.757324 3 RTP_Emulation.ttcn:614 Message with id 115 was extracted from the queue of RTP. 10:13:47.757536 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000594F6CA7B8C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 90 10:13:47.757687 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 89, time_stamp := '01001111011011001010011110111000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 90 10:13:47.757780 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.757820 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 89, time_stamp := '01001111011011001010011110111000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 90 10:13:47.757850 4 RTP_Emulation.ttcn:614 Message with id 90 was extracted from the queue of RTP. 10:13:47.776568 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.776841 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 163, time_stamp := '00000000000000000110010111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.776928 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '807000A3000065E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.777038 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.777118 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.777430 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 188, time_stamp := '00000000000000000111010110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.777506 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000BC00007580DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.777621 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.777710 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000739ED950B0C182322C0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 116 10:13:47.777781 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 115, time_stamp := '10011110110110010101000010110000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 116 10:13:47.777825 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.777865 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 115, time_stamp := '10011110110110010101000010110000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0340A7FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 116 10:13:47.777895 3 RTP_Emulation.ttcn:614 Message with id 116 was extracted from the queue of RTP. 10:13:47.778106 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070005A4F6CA85CC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 91 10:13:47.778260 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 90, time_stamp := '01001111011011001010100001011100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 91 10:13:47.778365 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.778407 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 90, time_stamp := '01001111011011001010100001011100'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 91 10:13:47.778438 4 RTP_Emulation.ttcn:614 Message with id 91 was extracted from the queue of RTP. 10:13:47.797103 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.797376 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 164, time_stamp := '00000000000000000110011010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.797489 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '807000A400006680DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.797597 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.797687 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.797991 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 189, time_stamp := '00000000000000000111011000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.798065 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000BD00007620DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.798153 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.798239 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000749ED951F9C182322C0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 117 10:13:47.798337 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 116, time_stamp := '10011110110110010101000111111001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 117 10:13:47.798383 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.798423 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 116, time_stamp := '10011110110110010101000111111001'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0440C3FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 117 10:13:47.798478 3 RTP_Emulation.ttcn:614 Message with id 117 was extracted from the queue of RTP. 10:13:47.798609 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070005B4F6CA901C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 92 10:13:47.798665 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 91, time_stamp := '01001111011011001010100100000001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 92 10:13:47.798697 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.798729 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 91, time_stamp := '01001111011011001010100100000001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 92 10:13:47.798753 4 RTP_Emulation.ttcn:614 Message with id 92 was extracted from the queue of RTP. 10:13:47.817664 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.817932 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 165, time_stamp := '00000000000000000110011100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.818019 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '807000A500006720DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.818129 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.818217 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.818552 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 190, time_stamp := '00000000000000000111011011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.818625 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000BE000076C0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.818724 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.818810 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000759ED95343C182322C054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 118 10:13:47.818882 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 117, time_stamp := '10011110110110010101001101000011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 118 10:13:47.818926 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.818965 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 117, time_stamp := '10011110110110010101001101000011'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '054023FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 118 10:13:47.818995 3 RTP_Emulation.ttcn:614 Message with id 118 was extracted from the queue of RTP. 10:13:47.819229 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070005C4F6CA9A6C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 93 10:13:47.819365 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 92, time_stamp := '01001111011011001010100110100110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 93 10:13:47.819431 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.819494 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 92, time_stamp := '01001111011011001010100110100110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 93 10:13:47.819525 4 RTP_Emulation.ttcn:614 Message with id 93 was extracted from the queue of RTP. 10:13:47.838195 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.838482 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 166, time_stamp := '00000000000000000110011111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.838568 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '807000A6000067C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.838674 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.838786 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.839082 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 191, time_stamp := '00000000000000000111011101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.839154 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000BF00007760DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.839242 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.839329 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000769ED9548AC182322C0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 119 10:13:47.839431 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 118, time_stamp := '10011110110110010101010010001010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 119 10:13:47.839477 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.839517 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 118, time_stamp := '10011110110110010101010010001010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0640BFFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 119 10:13:47.839547 3 RTP_Emulation.ttcn:614 Message with id 119 was extracted from the queue of RTP. 10:13:47.839865 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070005D4F6CAA4AC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 94 10:13:47.840016 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 93, time_stamp := '01001111011011001010101001001010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 94 10:13:47.840083 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.840122 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 93, time_stamp := '01001111011011001010101001001010'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 94 10:13:47.840152 4 RTP_Emulation.ttcn:614 Message with id 94 was extracted from the queue of RTP. 10:13:47.858723 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.858919 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 167, time_stamp := '00000000000000000110100001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.858992 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '807000A700006860DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.859076 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.859290 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.859555 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 192, time_stamp := '00000000000000000111100000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.859624 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000C000007800DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.859710 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.859791 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000779ED955D0C182322C07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 120 10:13:47.859858 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 119, time_stamp := '10011110110110010101010111010000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 120 10:13:47.859899 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.859938 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 119, time_stamp := '10011110110110010101010111010000'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '07405FFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 120 10:13:47.859967 3 RTP_Emulation.ttcn:614 Message with id 120 was extracted from the queue of RTP. 10:13:47.860187 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070005E4F6CAAEDC9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 95 10:13:47.860337 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 94, time_stamp := '01001111011011001010101011101101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 95 10:13:47.860404 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.860444 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 94, time_stamp := '01001111011011001010101011101101'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 95 10:13:47.860474 4 RTP_Emulation.ttcn:614 Message with id 95 was extracted from the queue of RTP. 10:13:47.879165 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.879436 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 168, time_stamp := '00000000000000000110100100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.879521 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '807000A800006900DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.879631 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.879765 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.880061 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 193, time_stamp := '00000000000000000111100010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.880132 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000C1000078A0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.880224 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.880312 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000789ED9571AC182322C084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 121 10:13:47.880382 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 120, time_stamp := '10011110110110010101011100011010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 121 10:13:47.880428 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.880467 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 120, time_stamp := '10011110110110010101011100011010'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '084077FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 121 10:13:47.880496 3 RTP_Emulation.ttcn:614 Message with id 121 was extracted from the queue of RTP. 10:13:47.880724 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070005F4F6CAB91C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 96 10:13:47.880897 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 95, time_stamp := '01001111011011001010101110010001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 96 10:13:47.880997 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.881065 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 95, time_stamp := '01001111011011001010101110010001'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 96 10:13:47.881150 4 RTP_Emulation.ttcn:614 Message with id 96 was extracted from the queue of RTP. 10:13:47.899702 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.900010 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 169, time_stamp := '00000000000000000110100110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.900129 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '807000A9000069A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.900286 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.900294 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.900693 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 194, time_stamp := '00000000000000000111100101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.900778 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000C200007940DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.900879 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.900969 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '806000799ED95865C182322C094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 122 10:13:47.901040 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 121, time_stamp := '10011110110110010101100001100101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 122 10:13:47.901117 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.901158 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 121, time_stamp := '10011110110110010101100001100101'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '094097FF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 122 10:13:47.901189 3 RTP_Emulation.ttcn:614 Message with id 122 was extracted from the queue of RTP. 10:13:47.901387 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000604F6CAC36C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 97 10:13:47.901569 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 96, time_stamp := '01001111011011001010110000110110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 97 10:13:47.901673 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.901743 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 96, time_stamp := '01001111011011001010110000110110'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 97 10:13:47.901795 4 RTP_Emulation.ttcn:614 Message with id 97 was extracted from the queue of RTP. 10:13:47.919009 mtc Osmocom_Types.ttcn:119 Timeout T: 2 s 10:13:47.919235 mtc RTP_Emulation.ttcn:222 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:47.919514 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 14 10:13:47.919697 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:47.919725 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:47.919742 3 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.919757 3 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.919771 3 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.919784 3 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.919798 3 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.919813 3 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:47.919832 3 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:47.919855 3 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:47.919881 3 RTP_Emulation.ttcn:577 Operation with id 14 was extracted from the queue of CTRL. 10:13:47.919925 3 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 195, bytes_payload_tx := 6045, num_pkts_rx := 121, bytes_payload_rx := 4235, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:47.920173 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 195, bytes_payload_tx := 6045, num_pkts_rx := 121, bytes_payload_rx := 4235, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 14 10:13:47.920360 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[0] succeeded: { stats := matched } 10:13:47.920372 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.920422 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 195, bytes_payload_tx := 6045, num_pkts_rx := 121, bytes_payload_rx := 4235, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:47.920476 mtc RTP_Emulation.ttcn:223 Operation with id 14 was extracted from the queue of RTPEM[0]. 10:13:47.920531 mtc RTP_Emulation.ttcn:222 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:47.920598 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 170, time_stamp := '00000000000000000110101001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:47.920717 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '807000AA00006A40DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:47.920863 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.920905 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.920966 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 14 10:13:47.921027 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:47.921066 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:47.921101 4 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.921133 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 195, time_stamp := '00000000000000000111100111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.921168 4 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.921203 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000C3000079E0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.921205 4 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.921237 4 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.921268 4 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.921291 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.921300 4 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:47.921334 4 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:47.921367 3 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8060007A9ED959AEC182322C0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } id 123 10:13:47.921376 4 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:47.921416 4 RTP_Emulation.ttcn:577 Operation with id 14 was extracted from the queue of CTRL. 10:13:47.921464 3 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 122, time_stamp := '10011110110110010101100110101110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 123 10:13:47.921477 4 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 171, bytes_payload_tx := 5472, num_pkts_rx := 97, bytes_payload_rx := 3104, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:47.921509 3 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.921549 3 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4002, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 122, time_stamp := '10011110110110010101100110101110'B, SSRC_id := '11000001100000100011001000101100'B, CSRCs := omit, ext_header := omit, data := '0A400BFF08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } } } id 123 10:13:47.921578 3 RTP_Emulation.ttcn:614 Message with id 123 was extracted from the queue of RTP. 10:13:47.921624 4 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '807000614F6CACD8C9414825F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } id 98 10:13:47.921662 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 171, bytes_payload_tx := 5472, num_pkts_rx := 97, bytes_payload_rx := 3104, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 14 10:13:47.921733 4 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 97, time_stamp := '01001111011011001010110011011000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 98 10:13:47.921795 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[1] succeeded: { stats := matched } 10:13:47.921816 4 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 10:13:47.921859 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 171, bytes_payload_tx := 5472, num_pkts_rx := 97, bytes_payload_rx := 3104, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:47.921882 4 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 2, remName := "127.0.0.1", remPort := 4004, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 97, time_stamp := '01001111011011001010110011011000'B, SSRC_id := '11001001010000010100100000100101'B, CSRCs := omit, ext_header := omit, data := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } } } id 98 10:13:47.921908 mtc RTP_Emulation.ttcn:223 Operation with id 14 was extracted from the queue of RTPEM[1]. 10:13:47.921934 4 RTP_Emulation.ttcn:614 Message with id 98 was extracted from the queue of RTP. 10:13:47.922080 mtc RTP_Emulation.ttcn:284 stats: { num_pkts_tx := 195, bytes_payload_tx := 6045, num_pkts_rx := 121, bytes_payload_rx := 4235, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } 10:13:47.922244 mtc RTP_Emulation.ttcn:284 stats: { num_pkts_tx := 171, bytes_payload_tx := 5472, num_pkts_rx := 97, bytes_payload_rx := 3104, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } 10:13:47.922410 mtc MGCP_Test.ttcn:2965 setverdict(pass): none -> pass 10:13:47.922528 mtc RTP_Emulation.ttcn:211 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_RXONLY (2) } 10:13:47.922713 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_RXONLY (2) } id 15 10:13:47.922814 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:47.922859 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:47.922901 4 RTP_Emulation.ttcn:525 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_RXONLY (2) with RTPEM_MODE_NONE (0) unmatched } 10:13:47.922952 4 RTP_Emulation.ttcn:531 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_RXONLY (2) with RTPEM_MODE_TXONLY (1) unmatched } 10:13:47.923310 4 RTP_Emulation.ttcn:538 Matching on port CTRL succeeded: { mode := RTPEM_MODE_RXONLY (2) with RTPEM_MODE_RXONLY (2) matched } 10:13:47.923359 4 RTP_Emulation.ttcn:538 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_RXONLY (2) } id 1 10:13:47.923399 4 RTP_Emulation.ttcn:538 Operation with id 15 was extracted from the queue of CTRL. 10:13:47.923435 4 RTP_Emulation.ttcn:540 Stop timer T_transmit: 0.02 s 10:13:47.923483 4 RTP_Emulation.ttcn:548 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 10:13:47.923557 4 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:47.923643 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_mode : { } id 15 10:13:47.923745 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:47.923794 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_mode : { } id 1 10:13:47.923839 mtc RTP_Emulation.ttcn:212 Operation with id 15 was extracted from the queue of RTPEM[1]. 10:13:47.923907 mtc RTP_Emulation.ttcn:201 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } 10:13:47.924070 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } id 16 10:13:47.924163 4 RTP_Emulation.ttcn:460 Matching on port CTRL succeeded: { local_host := "127.0.0.1" with ? matched, local_port := 20000 with ? matched } 10:13:47.924225 4 RTP_Emulation.ttcn:460 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } id 1 10:13:47.924267 4 RTP_Emulation.ttcn:460 Operation with id 16 was extracted from the queue of CTRL. 10:13:47.924379 4 RTP_Emulation.ttcn:473 entering f__IPL4__PROVIDER__listen: 127.0.0.1:20000 / UDP 10:13:47.924559 4 RTP_Emulation.ttcn:486 entering f__IPL4__PROVIDER__listen: 127.0.0.1:20001 / UDP 10:13:47.924669 4 RTP_Emulation.ttcn:494 Replied on CTRL to mtc @RTP_Emulation.RTPEM_bind : { local_port := 20000 } 10:13:47.924830 mtc RTP_Emulation.ttcn:201 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_bind : { local_port := 20000 } id 16 10:13:47.924926 mtc RTP_Emulation.ttcn:202 Matching on port RTPEM[1] succeeded: { local_port := 20000 with ? matched } 10:13:47.924983 mtc RTP_Emulation.ttcn:202 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_bind : { local_port := 20000 } id 1 10:13:47.925029 mtc RTP_Emulation.ttcn:202 Operation with id 16 was extracted from the queue of RTPEM[1]. 10:13:47.925184 mtc RTP_Emulation.ttcn:216 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 112, fixed_payload := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } }, rx_payloads := { { payload_type := 112, fixed_payload := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } 10:13:47.925443 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 112, fixed_payload := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } }, rx_payloads := { { payload_type := 112, fixed_payload := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 17 10:13:47.925561 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:47.925608 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:47.925642 4 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.925674 4 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.925704 4 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.925734 4 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.925764 4 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:47.925799 4 RTP_Emulation.ttcn:572 Matching on port CTRL succeeded: { cfg := matched } 10:13:47.925871 4 RTP_Emulation.ttcn:572 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 112, fixed_payload := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } }, rx_payloads := { { payload_type := 112, fixed_payload := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 1 10:13:47.925945 4 RTP_Emulation.ttcn:572 Operation with id 17 was extracted from the queue of CTRL. 10:13:47.926021 4 RTP_Emulation.ttcn:575 Replied on CTRL to mtc @RTP_Emulation.RTPEM_configure : { } 10:13:47.926172 mtc RTP_Emulation.ttcn:216 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_configure : { } id 17 10:13:47.926292 mtc RTP_Emulation.ttcn:217 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:47.926380 mtc RTP_Emulation.ttcn:217 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_configure : { } id 1 10:13:47.926429 mtc RTP_Emulation.ttcn:217 Operation with id 17 was extracted from the queue of RTPEM[1]. 10:13:47.927218 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "MDCX", trans_id := "46253", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { { code := "M", val := "recvonly" }, { code := "C", val := "1227" }, { code := "I", val := "A759C508" }, { code := "L", val := "p:20, a:AMR" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "23", session_version := "42", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 20000, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } 10:13:47.927446 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '4D444358203436323533207274706272696467652F32406D6777204D47435020312E300D0A4D3A20726563766F6E6C790D0A433A20313232370D0A493A2041373539433530380D0A4C3A20703A32302C20613A414D520D0A0D0A763D300D0A6F3D2D20323320343220494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F203230303030205254502F415650203131320D0A613D7274706D61703A31313220414D522F383030300D0A613D7074696D653A32300D0A'O ("MDCX 46253 rtpbridge/2@mgw MGCP 1.0\r M: recvonly\r C: 1227\r I: A759C508\r L: p:20, a:AMR\r \r v=0\r o=- 23 42 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 20000 RTP/AVP 112\r a=rtpmap:112 AMR/8000\r a=ptime:20\r ") } 10:13:47.927615 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 10:13:47.928708 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '323030203436323533204F4B0D0A0D0A763D300D0A6F3D2D20413735394335303820323320494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F2034303034205254502F415650203131320D0A613D7274706D61703A31313220414D522F383030300D0A613D7074696D653A32300D0A'O ("200 46253 OK\r \r v=0\r o=- A759C508 23 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 4004 RTP/AVP 112\r a=rtpmap:112 AMR/8000\r a=ptime:20\r ") } id 6 10:13:47.929306 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46253", string := "OK" }, params := { }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "A759C508", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4004, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 6 10:13:47.929434 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 10:13:47.929528 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46253", string := "OK" }, params := { }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "A759C508", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4004, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 6 10:13:47.929636 mtc MGCP_Test.ttcn:273 Message with id 6 was extracted from the queue of MGCP. 10:13:47.929680 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 10:13:47.929794 mtc RTP_Emulation.ttcn:206 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4004 } 10:13:47.929988 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4004 } id 18 10:13:47.930091 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:47.930137 4 RTP_Emulation.ttcn:496 Matching on port CTRL succeeded: { remote_host := "127.0.0.1" with ? matched, remote_port := 4004 with ? matched } 10:13:47.930190 4 RTP_Emulation.ttcn:496 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4004 } id 1 10:13:47.930231 4 RTP_Emulation.ttcn:496 Operation with id 18 was extracted from the queue of CTRL. 10:13:47.930276 4 RTP_Emulation.ttcn:502 entering f__IPL4__PROVIDER__connect: 127.0.0.1:20000 -> 127.0.0.1:4004 / UDP 10:13:47.930382 4 RTP_Emulation.ttcn:510 entering f__IPL4__PROVIDER__connect: 127.0.0.1:20001 -> 127.0.0.1:4005 / UDP 10:13:47.930691 4 RTP_Emulation.ttcn:523 Replied on CTRL to mtc @RTP_Emulation.RTPEM_connect : { } 10:13:47.930800 4 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:47.930929 mtc RTP_Emulation.ttcn:206 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_connect : { } id 18 10:13:47.931064 mtc RTP_Emulation.ttcn:207 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:47.931113 mtc RTP_Emulation.ttcn:207 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_connect : { } id 1 10:13:47.931163 mtc RTP_Emulation.ttcn:207 Operation with id 18 was extracted from the queue of RTPEM[1]. 10:13:47.931298 mtc Osmocom_Types.ttcn:118 Start timer T: 0.5 s 10:13:47.941340 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.941603 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 196, time_stamp := '00000000000000000111101010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.941673 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000C400007A80DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.941758 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.961821 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.962181 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 197, time_stamp := '00000000000000000111101100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.962261 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000C500007B20DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.962405 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:47.982473 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:47.982830 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 198, time_stamp := '00000000000000000111101111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:47.982906 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000C600007BC0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:47.983039 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.003105 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.003459 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 199, time_stamp := '00000000000000000111110001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.003536 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000C700007C60DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.003643 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.023707 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.024065 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 200, time_stamp := '00000000000000000111110100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.024142 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000C800007D00DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.024251 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.044315 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.044675 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 201, time_stamp := '00000000000000000111110110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.044752 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000C900007DA0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.044860 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.064908 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.065171 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 202, time_stamp := '00000000000000000111111001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.065239 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000CA00007E40DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.065324 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.085387 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.085744 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 203, time_stamp := '00000000000000000111111011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.085847 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000CB00007EE0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.085956 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.106020 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.106412 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 204, time_stamp := '00000000000000000111111110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.106493 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000CC00007F80DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.106600 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.126666 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.127024 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 205, time_stamp := '00000000000000001000000000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.127101 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000CD00008020DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.127207 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.147274 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.147635 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 206, time_stamp := '00000000000000001000000011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.147712 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000CE000080C0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.147821 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.167886 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.168241 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 207, time_stamp := '00000000000000001000000101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.168317 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000CF00008160DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.168425 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.188489 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.188848 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 208, time_stamp := '00000000000000001000001000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.188949 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000D000008200DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.189047 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.209112 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.209472 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 209, time_stamp := '00000000000000001000001010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.209548 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000D1000082A0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.209658 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.229722 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.230087 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 210, time_stamp := '00000000000000001000001101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.230165 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000D200008340DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.230272 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.250373 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.250729 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 211, time_stamp := '00000000000000001000001111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.250806 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000D3000083E0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.250913 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.270963 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.271222 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 212, time_stamp := '00000000000000001000010010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.271291 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000D400008480DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.271374 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.291437 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.291779 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 213, time_stamp := '00000000000000001000010100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.291883 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000D500008520DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.291981 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.312046 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.312405 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 214, time_stamp := '00000000000000001000010111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.312480 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000D6000085C0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.312587 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.332652 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.333008 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 215, time_stamp := '00000000000000001000011001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.333084 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000D700008660DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.333194 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.353257 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.353616 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 216, time_stamp := '00000000000000001000011100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.353695 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000D800008700DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.353801 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.373865 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.374223 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 217, time_stamp := '00000000000000001000011110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.374336 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000D9000087A0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.374483 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.394550 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.394911 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 218, time_stamp := '00000000000000001000100001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.394988 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000DA00008840DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.395094 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.415158 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.415519 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 219, time_stamp := '00000000000000001000100011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.415596 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000DB000088E0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.415705 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.431358 mtc Osmocom_Types.ttcn:119 Timeout T: 0.5 s 10:13:48.431577 mtc RTP_Emulation.ttcn:222 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:48.431893 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 19 10:13:48.432075 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:48.432101 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:48.432119 4 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.432133 4 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.432147 4 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.432160 4 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.432174 4 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.432189 4 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:48.432208 4 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:48.432230 4 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:48.432255 4 RTP_Emulation.ttcn:577 Operation with id 19 was extracted from the queue of CTRL. 10:13:48.432300 4 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 171, bytes_payload_tx := 5472, num_pkts_rx := 98, bytes_payload_rx := 3136, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:48.432361 4 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:48.432547 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 171, bytes_payload_tx := 5472, num_pkts_rx := 98, bytes_payload_rx := 3136, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 19 10:13:48.432691 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[1] succeeded: { stats := matched } 10:13:48.432750 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 171, bytes_payload_tx := 5472, num_pkts_rx := 98, bytes_payload_rx := 3136, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:48.432799 mtc RTP_Emulation.ttcn:223 Operation with id 19 was extracted from the queue of RTPEM[1]. 10:13:48.432846 mtc Osmocom_Types.ttcn:118 Start timer T: 0.5 s 10:13:48.435770 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.436135 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 220, time_stamp := '00000000000000001000100110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.436212 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000DC00008980DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.436320 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.456383 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.456739 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 221, time_stamp := '00000000000000001000101000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.456816 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000DD00008A20DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.456925 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.476974 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.477236 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 222, time_stamp := '00000000000000001000101011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.477305 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000DE00008AC0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.477414 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.497479 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.497840 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 223, time_stamp := '00000000000000001000101101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.497916 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000DF00008B60DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.498027 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.518091 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.518514 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 224, time_stamp := '00000000000000001000110000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.518593 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000E000008C00DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.518699 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.538765 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.539120 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 225, time_stamp := '00000000000000001000110010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.539195 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000E100008CA0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.539303 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.559365 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.559723 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 226, time_stamp := '00000000000000001000110101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.559799 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000E200008D40DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.559907 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.579972 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.580334 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 227, time_stamp := '00000000000000001000110111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.580443 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000E300008DE0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.580554 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.600620 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.600976 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 228, time_stamp := '00000000000000001000111010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.601055 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000E400008E80DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.601163 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.621228 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.621584 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 229, time_stamp := '00000000000000001000111100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.621661 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000E500008F20DEADBEEF0400F81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.621771 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.641836 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.642197 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 230, time_stamp := '00000000000000001000111111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.642275 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000E600008FC0DEADBEEF0500181E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.642404 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.662471 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.662829 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 231, time_stamp := '00000000000000001001000001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.662907 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000E700009060DEADBEEF0600841E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.663013 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.683078 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.683435 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 232, time_stamp := '00000000000000001001000100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.683539 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000E800009100DEADBEEF0700641E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.683646 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.703711 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.704069 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 233, time_stamp := '00000000000000001001000110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.704145 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000E9000091A0DEADBEEF08004C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.704253 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.724318 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.724672 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 234, time_stamp := '00000000000000001001001001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.724750 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000EA00009240DEADBEEF0900AC1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.724854 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.744903 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.745167 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 235, time_stamp := '00000000000000001001001011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.745236 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000EB000092E0DEADBEEF0A00301E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.745321 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.765368 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.765630 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 236, time_stamp := '00000000000000001001001110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.765700 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000EC00009380DEADBEEF0B00D01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.765784 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.785856 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.786117 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 237, time_stamp := '00000000000000001001010000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.786186 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000ED00009420DEADBEEF0C00B41E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.786272 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.806358 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.806719 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 238, time_stamp := '00000000000000001001010011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.806796 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000EE000094C0DEADBEEF0D00541E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.806903 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.826968 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.827326 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 239, time_stamp := '00000000000000001001010101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.827403 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000EF00009560DEADBEEF0E00C81E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.827512 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.847578 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.847931 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 240, time_stamp := '00000000000000001001011000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.848008 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000F000009600DEADBEEF0F00281E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.848118 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.868167 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.868429 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 241, time_stamp := '00000000000000001001011010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.868497 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000F1000096A0DEADBEEF0000001E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.868608 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.888673 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.889031 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 242, time_stamp := '00000000000000001001011101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.889109 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000F200009740DEADBEEF0100E01E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.889218 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.909284 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.909643 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 243, time_stamp := '00000000000000001001011111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.909720 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000F3000097E0DEADBEEF02007C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.909831 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.929898 3 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.930252 3 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 2, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 96, sequence_number := 244, time_stamp := '00000000000000001001100010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } } } 10:13:48.930364 3 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { udp := { } }, msg := '806000F400009880DEADBEEF03009C1E4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } 10:13:48.930473 3 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.932907 mtc Osmocom_Types.ttcn:119 Timeout T: 0.5 s 10:13:48.933132 mtc RTP_Emulation.ttcn:222 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:48.933405 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 20 10:13:48.933581 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:48.933639 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:48.933657 4 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.933672 4 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.933686 4 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.933699 4 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.933713 4 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.933728 4 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:48.933747 4 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:48.933769 4 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:48.933795 4 RTP_Emulation.ttcn:577 Operation with id 20 was extracted from the queue of CTRL. 10:13:48.933839 4 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 171, bytes_payload_tx := 5472, num_pkts_rx := 98, bytes_payload_rx := 3136, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:48.933899 4 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:48.934082 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 171, bytes_payload_tx := 5472, num_pkts_rx := 98, bytes_payload_rx := 3136, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 20 10:13:48.934225 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[1] succeeded: { stats := matched } 10:13:48.934284 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 171, bytes_payload_tx := 5472, num_pkts_rx := 98, bytes_payload_rx := 3136, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:48.934410 mtc RTP_Emulation.ttcn:223 Operation with id 20 was extracted from the queue of RTPEM[1]. 10:13:48.934543 mtc RTP_Emulation.ttcn:211 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_RXONLY (2) } 10:13:48.934789 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_RXONLY (2) } id 15 10:13:48.934939 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:48.934964 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:48.934988 3 RTP_Emulation.ttcn:525 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_RXONLY (2) with RTPEM_MODE_NONE (0) unmatched } 10:13:48.935019 3 RTP_Emulation.ttcn:531 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_RXONLY (2) with RTPEM_MODE_TXONLY (1) unmatched } 10:13:48.935037 3 RTP_Emulation.ttcn:538 Matching on port CTRL succeeded: { mode := RTPEM_MODE_RXONLY (2) with RTPEM_MODE_RXONLY (2) matched } 10:13:48.935059 3 RTP_Emulation.ttcn:538 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_RXONLY (2) } id 1 10:13:48.935085 3 RTP_Emulation.ttcn:538 Operation with id 15 was extracted from the queue of CTRL. 10:13:48.935131 3 RTP_Emulation.ttcn:540 Stop timer T_transmit: 0.02 s 10:13:48.935168 3 RTP_Emulation.ttcn:548 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 10:13:48.935222 3 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:48.935380 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_mode : { } id 15 10:13:48.935519 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[0] succeeded: { } with { } matched 10:13:48.935567 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_mode : { } id 1 10:13:48.935613 mtc RTP_Emulation.ttcn:212 Operation with id 15 was extracted from the queue of RTPEM[0]. 10:13:48.935684 mtc RTP_Emulation.ttcn:201 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 10000 } 10:13:48.935908 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 10000 } id 16 10:13:48.936049 3 RTP_Emulation.ttcn:460 Matching on port CTRL succeeded: { local_host := "127.0.0.1" with ? matched, local_port := 10000 with ? matched } 10:13:48.936088 3 RTP_Emulation.ttcn:460 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 10000 } id 1 10:13:48.936114 3 RTP_Emulation.ttcn:460 Operation with id 16 was extracted from the queue of CTRL. 10:13:48.936214 3 RTP_Emulation.ttcn:473 entering f__IPL4__PROVIDER__listen: 127.0.0.1:10000 / UDP 10:13:48.936332 3 RTP_Emulation.ttcn:486 entering f__IPL4__PROVIDER__listen: 127.0.0.1:10001 / UDP 10:13:48.936378 3 RTP_Emulation.ttcn:494 Replied on CTRL to mtc @RTP_Emulation.RTPEM_bind : { local_port := 10000 } 10:13:48.936598 mtc RTP_Emulation.ttcn:201 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_bind : { local_port := 10000 } id 16 10:13:48.936738 mtc RTP_Emulation.ttcn:202 Matching on port RTPEM[0] succeeded: { local_port := 10000 with ? matched } 10:13:48.936795 mtc RTP_Emulation.ttcn:202 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_bind : { local_port := 10000 } id 1 10:13:48.936844 mtc RTP_Emulation.ttcn:202 Operation with id 16 was extracted from the queue of RTPEM[0]. 10:13:48.937019 mtc RTP_Emulation.ttcn:216 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 96, fixed_payload := '4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } }, rx_payloads := { { payload_type := 96, fixed_payload := '08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } }, iuup_mode := true, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } 10:13:48.937329 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 96, fixed_payload := '4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } }, rx_payloads := { { payload_type := 96, fixed_payload := '08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } }, iuup_mode := true, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 17 10:13:48.937488 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:48.937514 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:48.937532 3 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.937546 3 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.937560 3 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.937574 3 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.937587 3 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.937606 3 RTP_Emulation.ttcn:572 Matching on port CTRL succeeded: { cfg := matched } 10:13:48.937650 3 RTP_Emulation.ttcn:572 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 96, fixed_payload := '4F28959FFEB80181F5C4E83D176C897B4A4E333298333419A493CA63DED6E0'O } }, rx_payloads := { { payload_type := 96, fixed_payload := '08556D944C71A1A081E7EAD204244480000ECD82B81118000097C4794E7740'O } }, iuup_mode := true, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 1 10:13:48.937683 3 RTP_Emulation.ttcn:572 Operation with id 17 was extracted from the queue of CTRL. 10:13:48.937740 3 RTP_Emulation.ttcn:575 Replied on CTRL to mtc @RTP_Emulation.RTPEM_configure : { } 10:13:48.937958 mtc RTP_Emulation.ttcn:216 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_configure : { } id 17 10:13:48.938169 mtc RTP_Emulation.ttcn:217 Matching on port RTPEM[0] succeeded: { } with { } matched 10:13:48.938221 mtc RTP_Emulation.ttcn:217 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_configure : { } id 1 10:13:48.938269 mtc RTP_Emulation.ttcn:217 Operation with id 17 was extracted from the queue of RTPEM[0]. 10:13:48.938974 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "MDCX", trans_id := "46254", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { { code := "M", val := "recvonly" }, { code := "C", val := "1227" }, { code := "I", val := "FFD8E5A9" }, { code := "L", val := "p:20, a:AMR" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "23", session_version := "42", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 10000, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "96" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "96 VND.3GPP.IUFP/16000" } }, { ptime := { attr_value := "20" } } } } } } } } } 10:13:48.939153 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '4D444358203436323534207274706272696467652F32406D6777204D47435020312E300D0A4D3A20726563766F6E6C790D0A433A20313232370D0A493A2046464438453541390D0A4C3A20703A32302C20613A414D520D0A0D0A763D300D0A6F3D2D20323320343220494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F203130303030205254502F4156502039360D0A613D7274706D61703A393620564E442E334750502E495546502F31363030300D0A613D7074696D653A32300D0A'O ("MDCX 46254 rtpbridge/2@mgw MGCP 1.0\r M: recvonly\r C: 1227\r I: FFD8E5A9\r L: p:20, a:AMR\r \r v=0\r o=- 23 42 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 10000 RTP/AVP 96\r a=rtpmap:96 VND.3GPP.IUFP/16000\r a=ptime:20\r ") } 10:13:48.939293 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 10:13:48.940512 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '323030203436323534204F4B0D0A0D0A763D300D0A6F3D2D20464644384535413920323320494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F2034303032205254502F4156502039360D0A613D7274706D61703A393620564E442E334750502E495546502F31363030300D0A613D7074696D653A32300D0A'O ("200 46254 OK\r \r v=0\r o=- FFD8E5A9 23 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 4002 RTP/AVP 96\r a=rtpmap:96 VND.3GPP.IUFP/16000\r a=ptime:20\r ") } id 7 10:13:48.940962 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46254", string := "OK" }, params := { }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "FFD8E5A9", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4002, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "96" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "96 VND.3GPP.IUFP/16000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 7 10:13:48.941037 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 10:13:48.941093 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46254", string := "OK" }, params := { }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "FFD8E5A9", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4002, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "96" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "96 VND.3GPP.IUFP/16000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 7 10:13:48.941165 mtc MGCP_Test.ttcn:273 Message with id 7 was extracted from the queue of MGCP. 10:13:48.941188 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 10:13:48.941252 mtc RTP_Emulation.ttcn:206 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4002 } 10:13:48.941365 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4002 } id 18 10:13:48.941460 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:48.941484 3 RTP_Emulation.ttcn:496 Matching on port CTRL succeeded: { remote_host := "127.0.0.1" with ? matched, remote_port := 4002 with ? matched } 10:13:48.941515 3 RTP_Emulation.ttcn:496 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4002 } id 1 10:13:48.941538 3 RTP_Emulation.ttcn:496 Operation with id 18 was extracted from the queue of CTRL. 10:13:48.941564 3 RTP_Emulation.ttcn:502 entering f__IPL4__PROVIDER__connect: 127.0.0.1:10000 -> 127.0.0.1:4002 / UDP 10:13:48.941612 3 RTP_Emulation.ttcn:510 entering f__IPL4__PROVIDER__connect: 127.0.0.1:10001 -> 127.0.0.1:4003 / UDP 10:13:48.941640 3 RTP_Emulation.ttcn:523 Replied on CTRL to mtc @RTP_Emulation.RTPEM_connect : { } 10:13:48.941686 3 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:48.941795 mtc RTP_Emulation.ttcn:206 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_connect : { } id 18 10:13:48.941905 mtc RTP_Emulation.ttcn:207 Matching on port RTPEM[0] succeeded: { } with { } matched 10:13:48.941933 mtc RTP_Emulation.ttcn:207 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_connect : { } id 1 10:13:48.941964 mtc RTP_Emulation.ttcn:207 Operation with id 18 was extracted from the queue of RTPEM[0]. 10:13:48.942053 mtc RTP_Emulation.ttcn:211 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_TXONLY (1) } 10:13:48.942231 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_TXONLY (1) } id 21 10:13:48.942377 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:48.942402 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:48.942425 4 RTP_Emulation.ttcn:525 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_TXONLY (1) with RTPEM_MODE_NONE (0) unmatched } 10:13:48.942453 4 RTP_Emulation.ttcn:531 Matching on port CTRL succeeded: { mode := RTPEM_MODE_TXONLY (1) with RTPEM_MODE_TXONLY (1) matched } 10:13:48.942477 4 RTP_Emulation.ttcn:531 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_TXONLY (1) } id 1 10:13:48.942500 4 RTP_Emulation.ttcn:531 Operation with id 21 was extracted from the queue of CTRL. 10:13:48.942518 4 RTP_Emulation.ttcn:533 Start timer T_transmit: 0.02 s 10:13:48.942548 4 RTP_Emulation.ttcn:536 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 10:13:48.942709 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_mode : { } id 21 10:13:48.942817 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:48.942843 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_mode : { } id 1 10:13:48.942869 mtc RTP_Emulation.ttcn:212 Operation with id 21 was extracted from the queue of RTPEM[1]. 10:13:48.942912 mtc RTP_Emulation.ttcn:201 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } 10:13:48.943088 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } id 22 10:13:48.943192 4 RTP_Emulation.ttcn:460 Matching on port CTRL succeeded: { local_host := "127.0.0.1" with ? matched, local_port := 20000 with ? matched } 10:13:48.943229 4 RTP_Emulation.ttcn:460 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } id 1 10:13:48.943253 4 RTP_Emulation.ttcn:460 Operation with id 22 was extracted from the queue of CTRL. 10:13:48.943336 4 RTP_Emulation.ttcn:473 entering f__IPL4__PROVIDER__listen: 127.0.0.1:20000 / UDP 10:13:48.943448 4 RTP_Emulation.ttcn:486 entering f__IPL4__PROVIDER__listen: 127.0.0.1:20001 / UDP 10:13:48.943494 4 RTP_Emulation.ttcn:494 Replied on CTRL to mtc @RTP_Emulation.RTPEM_bind : { local_port := 20000 } 10:13:48.943660 mtc RTP_Emulation.ttcn:201 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_bind : { local_port := 20000 } id 22 10:13:48.943767 mtc RTP_Emulation.ttcn:202 Matching on port RTPEM[1] succeeded: { local_port := 20000 with ? matched } 10:13:48.943800 mtc RTP_Emulation.ttcn:202 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_bind : { local_port := 20000 } id 1 10:13:48.943828 mtc RTP_Emulation.ttcn:202 Operation with id 22 was extracted from the queue of RTPEM[1]. 10:13:48.943951 mtc RTP_Emulation.ttcn:216 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 112, fixed_payload := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } }, rx_payloads := { { payload_type := 112, fixed_payload := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } 10:13:48.944193 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 112, fixed_payload := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } }, rx_payloads := { { payload_type := 112, fixed_payload := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 23 10:13:48.944335 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:48.944361 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:48.944377 4 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.944392 4 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.944405 4 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.944419 4 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.944432 4 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:48.944450 4 RTP_Emulation.ttcn:572 Matching on port CTRL succeeded: { cfg := matched } 10:13:48.944494 4 RTP_Emulation.ttcn:572 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 112, fixed_payload := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } }, rx_payloads := { { payload_type := 112, fixed_payload := 'F3D3CA2567FFAE00607D713A0F45DB225ED2938CCCA60CCD066924F298F7B5B8'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 1 10:13:48.944524 4 RTP_Emulation.ttcn:572 Operation with id 23 was extracted from the queue of CTRL. 10:13:48.944574 4 RTP_Emulation.ttcn:575 Replied on CTRL to mtc @RTP_Emulation.RTPEM_configure : { } 10:13:48.944732 mtc RTP_Emulation.ttcn:216 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_configure : { } id 23 10:13:48.944871 mtc RTP_Emulation.ttcn:217 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:48.944901 mtc RTP_Emulation.ttcn:217 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_configure : { } id 1 10:13:48.944929 mtc RTP_Emulation.ttcn:217 Operation with id 23 was extracted from the queue of RTPEM[1]. 10:13:48.945420 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "MDCX", trans_id := "46255", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { { code := "M", val := "sendrecv" }, { code := "C", val := "1227" }, { code := "I", val := "A759C508" }, { code := "L", val := "p:20, a:AMR" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "23", session_version := "42", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 20000, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } 10:13:48.945596 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '4D444358203436323535207274706272696467652F32406D6777204D47435020312E300D0A4D3A2073656E64726563760D0A433A20313232370D0A493A2041373539433530380D0A4C3A20703A32302C20613A414D520D0A0D0A763D300D0A6F3D2D20323320343220494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F203230303030205254502F415650203131320D0A613D7274706D61703A31313220414D522F383030300D0A613D7074696D653A32300D0A'O ("MDCX 46255 rtpbridge/2@mgw MGCP 1.0\r M: sendrecv\r C: 1227\r I: A759C508\r L: p:20, a:AMR\r \r v=0\r o=- 23 42 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 20000 RTP/AVP 112\r a=rtpmap:112 AMR/8000\r a=ptime:20\r ") } 10:13:48.945704 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 10:13:48.946642 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '323030203436323535204F4B0D0A0D0A763D300D0A6F3D2D20413735394335303820323320494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F2034303034205254502F415650203131320D0A613D7274706D61703A31313220414D522F383030300D0A613D7074696D653A32300D0A'O ("200 46255 OK\r \r v=0\r o=- A759C508 23 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 4004 RTP/AVP 112\r a=rtpmap:112 AMR/8000\r a=ptime:20\r ") } id 8 10:13:48.947011 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46255", string := "OK" }, params := { }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "A759C508", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4004, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 8 10:13:48.947076 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 10:13:48.947131 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46255", string := "OK" }, params := { }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "A759C508", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 4004, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 8 10:13:48.947181 mtc MGCP_Test.ttcn:273 Message with id 8 was extracted from the queue of MGCP. 10:13:48.947203 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 10:13:48.947263 mtc RTP_Emulation.ttcn:206 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4004 } 10:13:48.947436 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4004 } id 24 10:13:48.947541 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:48.947567 4 RTP_Emulation.ttcn:496 Matching on port CTRL succeeded: { remote_host := "127.0.0.1" with ? matched, remote_port := 4004 with ? matched } 10:13:48.947598 4 RTP_Emulation.ttcn:496 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 4004 } id 1 10:13:48.947622 4 RTP_Emulation.ttcn:496 Operation with id 24 was extracted from the queue of CTRL. 10:13:48.947649 4 RTP_Emulation.ttcn:502 entering f__IPL4__PROVIDER__connect: 127.0.0.1:20000 -> 127.0.0.1:4004 / UDP 10:13:48.947696 4 RTP_Emulation.ttcn:510 entering f__IPL4__PROVIDER__connect: 127.0.0.1:20001 -> 127.0.0.1:4005 / UDP 10:13:48.947721 4 RTP_Emulation.ttcn:523 Replied on CTRL to mtc @RTP_Emulation.RTPEM_connect : { } 10:13:48.947785 mtc RTP_Emulation.ttcn:206 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_connect : { } id 24 10:13:48.947812 mtc RTP_Emulation.ttcn:207 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:48.947833 mtc RTP_Emulation.ttcn:207 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_connect : { } id 1 10:13:48.947858 mtc RTP_Emulation.ttcn:207 Operation with id 24 was extracted from the queue of RTPEM[1]. 10:13:48.947916 mtc Osmocom_Types.ttcn:118 Start timer T: 0.5 s 10:13:48.962571 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.962781 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 171, time_stamp := '00000000000000000110101011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:48.962883 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000AB00006AE0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:48.962970 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:48.983020 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:48.983217 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 172, time_stamp := '00000000000000000110101110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:48.983291 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000AC00006B80DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:48.983376 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.003441 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.003708 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 173, time_stamp := '00000000000000000110110000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.003793 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000AD00006C20DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.003903 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.023966 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.024234 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 174, time_stamp := '00000000000000000110110011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.024318 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000AE00006CC0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.024424 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.044489 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.044756 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 175, time_stamp := '00000000000000000110110101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.044843 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000AF00006D60DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.044951 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.065016 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.065312 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 176, time_stamp := '00000000000000000110111000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.065399 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000B000006E00DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.065506 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.085556 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.085754 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 177, time_stamp := '00000000000000000110111010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.085829 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000B100006EA0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.085914 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.105979 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.106246 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 178, time_stamp := '00000000000000000110111101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.106367 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000B200006F40DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.106478 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.126544 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.126811 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 179, time_stamp := '00000000000000000110111111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.126896 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000B300006FE0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.127002 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.147066 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.147334 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 180, time_stamp := '00000000000000000111000010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.147418 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000B400007080DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.147553 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.167616 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.167882 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 181, time_stamp := '00000000000000000111000100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.167967 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000B500007120DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.168075 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.188139 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.188406 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 182, time_stamp := '00000000000000000111000111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.188492 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000B6000071C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.188602 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.208665 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.208935 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 183, time_stamp := '00000000000000000111001001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.209021 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000B700007260DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.209130 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.229194 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.229461 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 184, time_stamp := '00000000000000000111001100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.229546 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000B800007300DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.229655 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.249720 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.249986 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 185, time_stamp := '00000000000000000111001110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.250070 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000B9000073A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.250207 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.270272 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.270561 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 186, time_stamp := '00000000000000000111010001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.270646 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000BA00007440DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.270752 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.290816 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.291085 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 187, time_stamp := '00000000000000000111010011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.291170 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000BB000074E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.291277 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.311343 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.311609 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 188, time_stamp := '00000000000000000111010110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.311696 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000BC00007580DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.311803 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.331867 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.332138 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 189, time_stamp := '00000000000000000111011000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.332223 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000BD00007620DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.332331 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.352395 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.352662 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 190, time_stamp := '00000000000000000111011011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.352772 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000BE000076C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.352882 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.372945 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.373213 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 191, time_stamp := '00000000000000000111011101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.373300 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000BF00007760DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.373410 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.393475 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.393744 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 192, time_stamp := '00000000000000000111100000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.393830 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000C000007800DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.393939 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.414002 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.414270 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 193, time_stamp := '00000000000000000111100010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.414393 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000C1000078A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.414498 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.434562 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.434832 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 194, time_stamp := '00000000000000000111100101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.434919 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000C200007940DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.435024 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.447947 mtc Osmocom_Types.ttcn:119 Timeout T: 0.5 s 10:13:49.448083 mtc RTP_Emulation.ttcn:222 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:49.448287 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 19 10:13:49.448401 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:49.448427 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:49.448445 3 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:49.448459 3 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:49.448473 3 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:49.448486 3 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:49.448500 3 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:49.448515 3 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:49.448533 3 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:49.448556 3 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:49.448579 3 RTP_Emulation.ttcn:577 Operation with id 19 was extracted from the queue of CTRL. 10:13:49.448621 3 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 245, bytes_payload_tx := 7595, num_pkts_rx := 122, bytes_payload_rx := 4270, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:49.448672 3 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:49.448815 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 245, bytes_payload_tx := 7595, num_pkts_rx := 122, bytes_payload_rx := 4270, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 19 10:13:49.448931 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[0] succeeded: { stats := matched } 10:13:49.448966 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 245, bytes_payload_tx := 7595, num_pkts_rx := 122, bytes_payload_rx := 4270, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:49.448997 mtc RTP_Emulation.ttcn:223 Operation with id 19 was extracted from the queue of RTPEM[0]. 10:13:49.449023 mtc Osmocom_Types.ttcn:118 Start timer T: 0.5 s 10:13:49.455074 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.455272 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 195, time_stamp := '00000000000000000111100111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.455380 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000C3000079E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.455464 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.475513 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.475710 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 196, time_stamp := '00000000000000000111101010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.475784 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000C400007A80DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.475868 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.495932 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.496198 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 197, time_stamp := '00000000000000000111101100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.496282 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000C500007B20DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.496390 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.516454 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.516722 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 198, time_stamp := '00000000000000000111101111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.516806 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000C600007BC0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.516912 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.536976 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.537243 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 199, time_stamp := '00000000000000000111110001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.537329 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000C700007C60DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.537439 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.557504 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.557776 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 200, time_stamp := '00000000000000000111110100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.557888 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000C800007D00DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.557995 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.578045 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.578243 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 201, time_stamp := '00000000000000000111110110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.578339 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000C900007DA0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.578426 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.598521 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.598783 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 202, time_stamp := '00000000000000000111111001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.598867 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000CA00007E40DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.598972 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.619034 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.619301 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 203, time_stamp := '00000000000000000111111011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.619386 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000CB00007EE0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.619492 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.639557 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.639825 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 204, time_stamp := '00000000000000000111111110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.639910 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000CC00007F80DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.640018 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.660109 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.660375 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 205, time_stamp := '00000000000000001000000000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.660458 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000CD00008020DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.660568 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.680617 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.680815 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 206, time_stamp := '00000000000000001000000011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.680890 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000CE000080C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.680976 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.701041 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.701308 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 207, time_stamp := '00000000000000001000000101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.701394 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000CF00008160DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.701504 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.721567 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.721837 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 208, time_stamp := '00000000000000001000001000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.721920 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000D000008200DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.722028 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.742094 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.742384 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 209, time_stamp := '00000000000000001000001010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.742469 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000D1000082A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.742615 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.762681 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.762946 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 210, time_stamp := '00000000000000001000001101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.763032 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000D200008340DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.763138 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.783187 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.783386 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 211, time_stamp := '00000000000000001000001111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.783458 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000D3000083E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.783543 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.803606 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.803876 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 212, time_stamp := '00000000000000001000010010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.803962 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000D400008480DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.804070 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.824135 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.824403 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 213, time_stamp := '00000000000000001000010100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.824487 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000D500008520DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.824595 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.844644 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.844851 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 214, time_stamp := '00000000000000001000010111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.844936 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000D6000085C0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.845044 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.865092 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.865298 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 215, time_stamp := '00000000000000001000011001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.865372 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000D700008660DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.865458 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.885508 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.885707 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 216, time_stamp := '00000000000000001000011100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.885781 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000D800008700DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.885866 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.905930 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.906199 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 217, time_stamp := '00000000000000001000011110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.906284 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000D9000087A0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.906427 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.926492 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.926759 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 218, time_stamp := '00000000000000001000100001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.926845 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000DA00008840DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.926952 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.947017 4 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 10:13:49.947283 4 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 4, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 219, time_stamp := '00000000000000001000100011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } } } 10:13:49.947399 4 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 4, proto := { udp := { } }, msg := '807000DB000088E0DEADBEEF0382155B65131C68682079FAB4810911200003B360AE0446000025F11E539DD0'O } 10:13:49.947509 4 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 10:13:49.949057 mtc Osmocom_Types.ttcn:119 Timeout T: 0.5 s 10:13:49.949197 mtc RTP_Emulation.ttcn:222 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 10:13:49.949405 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 20 10:13:49.949523 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:49.949550 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:49.949567 3 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:49.949581 3 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:49.949595 3 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:49.949631 3 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:49.949645 3 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 10:13:49.949660 3 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 10:13:49.949678 3 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 10:13:49.949700 3 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 10:13:49.949724 3 RTP_Emulation.ttcn:577 Operation with id 20 was extracted from the queue of CTRL. 10:13:49.949765 3 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 245, bytes_payload_tx := 7595, num_pkts_rx := 122, bytes_payload_rx := 4270, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 10:13:49.949822 3 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:49.949958 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 245, bytes_payload_tx := 7595, num_pkts_rx := 122, bytes_payload_rx := 4270, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 20 10:13:49.950072 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[0] succeeded: { stats := matched } 10:13:49.950106 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 245, bytes_payload_tx := 7595, num_pkts_rx := 122, bytes_payload_rx := 4270, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 10:13:49.950135 mtc RTP_Emulation.ttcn:223 Operation with id 20 was extracted from the queue of RTPEM[0]. 10:13:49.950174 mtc RTP_Emulation.ttcn:211 Called on RTPEM[0] to RTPEM0(3) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_NONE (0) } 10:13:49.950383 3 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_NONE (0) } id 21 10:13:49.950488 3 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:49.950511 3 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:49.950529 3 RTP_Emulation.ttcn:525 Matching on port CTRL succeeded: { mode := RTPEM_MODE_NONE (0) with RTPEM_MODE_NONE (0) matched } 10:13:49.950553 3 RTP_Emulation.ttcn:525 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_NONE (0) } id 1 10:13:49.950577 3 RTP_Emulation.ttcn:525 Operation with id 21 was extracted from the queue of CTRL. 10:13:49.950595 3 RTP_Emulation.ttcn:526 Warning: Stopping inactive timer T_transmit. 10:13:49.950639 3 RTP_Emulation.ttcn:529 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 10:13:49.950677 3 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:49.950792 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[0] from RTPEM0(3) @RTP_Emulation.RTPEM_mode : { } id 21 10:13:49.950902 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[0] succeeded: { } with { } matched 10:13:49.950930 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(3): @RTP_Emulation.RTPEM_mode : { } id 1 10:13:49.950981 mtc RTP_Emulation.ttcn:212 Operation with id 21 was extracted from the queue of RTPEM[0]. 10:13:49.951068 mtc RTP_Emulation.ttcn:211 Called on RTPEM[1] to RTPEM1(4) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_NONE (0) } 10:13:49.951245 4 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_NONE (0) } id 25 10:13:49.951355 4 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 10:13:49.951379 4 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 10:13:49.951398 4 RTP_Emulation.ttcn:525 Matching on port CTRL succeeded: { mode := RTPEM_MODE_NONE (0) with RTPEM_MODE_NONE (0) matched } 10:13:49.951422 4 RTP_Emulation.ttcn:525 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_NONE (0) } id 1 10:13:49.951446 4 RTP_Emulation.ttcn:525 Operation with id 25 was extracted from the queue of CTRL. 10:13:49.951465 4 RTP_Emulation.ttcn:526 Stop timer T_transmit: 0.02 s 10:13:49.951495 4 RTP_Emulation.ttcn:529 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 10:13:49.951544 4 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 10:13:49.951656 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[1] from RTPEM1(4) @RTP_Emulation.RTPEM_mode : { } id 25 10:13:49.951764 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[1] succeeded: { } with { } matched 10:13:49.951792 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(4): @RTP_Emulation.RTPEM_mode : { } id 1 10:13:49.951819 mtc RTP_Emulation.ttcn:212 Operation with id 25 was extracted from the queue of RTPEM[1]. 10:13:49.951844 mtc Osmocom_Types.ttcn:118 Start timer T: 0.1 s 10:13:50.051882 mtc Osmocom_Types.ttcn:119 Timeout T: 0.1 s 10:13:50.052334 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "DLCX", trans_id := "46256", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { { code := "C", val := "1227" } }, sdp := omit } } } 10:13:50.052427 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '444C4358203436323536207274706272696467652F32406D6777204D47435020312E300D0A433A20313232370D0A'O ("DLCX 46256 rtpbridge/2@mgw MGCP 1.0\r C: 1227\r ") } 10:13:50.052539 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 10:13:50.057796 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '323030203436323536204F4B0D0A'O ("200 46256 OK\r ") } id 9 10:13:50.058003 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46256", string := "OK" }, params := { }, sdp := omit } } } id 9 10:13:50.058061 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 10:13:50.058086 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "46256", string := "OK" }, params := { }, sdp := omit } } } id 9 10:13:50.058091 mtc MGCP_Test.ttcn:273 Message with id 9 was extracted from the queue of MGCP. 10:13:50.058095 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 10:13:50.058104 mtc MGCP_Test.ttcn:3221 setverdict(pass): pass -> pass, component reason not changed 10:13:50.058136 mtc MGCP_Test.ttcn:3229 Terminating component type MGCP_Test.dummy_CT. 10:13:50.058142 mtc MGCP_Test.ttcn:3229 Removing unterminated connection between port STATSD_PROC and VirtCallAgent-STATS(6):STATSD_PROC. 10:13:50.058166 mtc MGCP_Test.ttcn:3229 Port STATSD_PROC was stopped. 10:13:50.058170 mtc MGCP_Test.ttcn:3229 Removing unterminated connection between port IPA_CTRL and IPA-CTRL-CLI-IPA(7):IPA_CTRL_PORT. 10:13:50.058172 6 StatsD_Checker.ttcn:119 Connection of port STATSD_PROC to mtc:STATSD_PROC was closed unexpectedly by the peer. 10:13:50.058183 mtc MGCP_Test.ttcn:3229 Port IPA_CTRL was stopped. 10:13:50.058185 mtc MGCP_Test.ttcn:3229 Removing unterminated mapping between port MGCP and system:MGCP_CODEC_PT. 10:13:50.058198 6 StatsD_Checker.ttcn:119 Port STATSD_PROC was disconnected from mtc:STATSD_PROC. 10:13:50.058272 mtc MGCP_Test.ttcn:3229 Port MGCP was unmapped from system:MGCP_CODEC_PT. 10:13:50.058277 mtc MGCP_Test.ttcn:3229 Port MGCP was stopped. 10:13:50.058279 mtc MGCP_Test.ttcn:3229 Removing unterminated connection between port RTPEM[0] and RTPEM0(3):CTRL. 10:13:50.058291 mtc MGCP_Test.ttcn:3229 Port RTPEM[0] was stopped. 10:13:50.058293 mtc MGCP_Test.ttcn:3229 Removing unterminated connection between port RTPEM[1] and RTPEM1(4):CTRL. 10:13:50.058294 3 RTP_Emulation.ttcn:458 Connection of port CTRL to mtc:RTPEM[0] was closed unexpectedly by the peer. 10:13:50.058296 7 IPA_Emulation.ttcnpp:735 Connection of port IPA_CTRL_PORT to mtc:IPA_CTRL was closed unexpectedly by the peer. 10:13:50.058306 3 RTP_Emulation.ttcn:458 Port CTRL was disconnected from mtc:RTPEM[0]. 10:13:50.058307 mtc MGCP_Test.ttcn:3229 Port RTPEM[1] was stopped. 10:13:50.058310 mtc MGCP_Test.ttcn:3229 Removing unterminated connection between port RTPEM[2] and RTPEM2(5):CTRL. 10:13:50.058320 mtc MGCP_Test.ttcn:3229 Port RTPEM[2] was stopped. 10:13:50.058322 7 IPA_Emulation.ttcnpp:735 Port IPA_CTRL_PORT was disconnected from mtc:IPA_CTRL. 10:13:50.058328 mtc MGCP_Test.ttcn:3229 Port OsmuxEM was stopped. 10:13:50.058335 mtc MGCP_Test.ttcn:3229 Removing unterminated mapping between port MGWVTY and system:MGWVTY. 10:13:50.058357 mtc MGCP_Test.ttcn:3229 Port MGWVTY was unmapped from system:MGWVTY. 10:13:50.058361 mtc MGCP_Test.ttcn:3229 Port MGWVTY was stopped. 10:13:50.058364 mtc MGCP_Test.ttcn:3229 Component type MGCP_Test.dummy_CT was shut down inside testcase TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly. 10:13:50.058369 mtc MGCP_Test.ttcn:3229 Waiting for PTCs to finish. 10:13:50.058394 4 RTP_Emulation.ttcn:458 Connection of port CTRL to mtc:RTPEM[1] was closed unexpectedly by the peer. 10:13:50.058415 5 RTP_Emulation.ttcn:458 Connection of port CTRL to mtc:RTPEM[2] was closed unexpectedly by the peer. 10:13:50.058416 4 RTP_Emulation.ttcn:458 Port CTRL was disconnected from mtc:RTPEM[1]. 10:13:50.058447 5 RTP_Emulation.ttcn:458 Port CTRL was disconnected from mtc:RTPEM[2]. 10:13:50.058481 5 RTP_Emulation.ttcn:458 Kill was requested from MC. 10:13:50.058491 5 RTP_Emulation.ttcn:458 Terminating test component execution. 10:13:50.058537 3 RTP_Emulation.ttcn:458 Kill was requested from MC. 10:13:50.058537 4 RTP_Emulation.ttcn:458 Kill was requested from MC. 10:13:50.058537 6 StatsD_Checker.ttcn:119 Kill was requested from MC. 10:13:50.058547 7 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 10:13:50.058554 4 RTP_Emulation.ttcn:458 Terminating test component execution. 10:13:50.058554 6 StatsD_Checker.ttcn:119 Terminating test component execution. 10:13:50.058556 3 RTP_Emulation.ttcn:458 Terminating test component execution. 10:13:50.058570 7 IPA_Emulation.ttcnpp:735 Terminating test component execution. 10:13:50.058684 6 - Function main was stopped. PTC terminates. 10:13:50.058694 6 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 10:13:50.058698 6 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 10:13:50.058723 6 - Port STATSVTY was unmapped from system:STATSVTY. 10:13:50.058725 4 - Function f_main was stopped. PTC terminates. 10:13:50.058726 3 - Function f_main was stopped. PTC terminates. 10:13:50.058729 6 - Port STATSVTY was stopped. 10:13:50.058732 6 - Port STATSD_PROC was stopped. 10:13:50.058734 6 - Removing unterminated mapping between port STATS and system:STATS. 10:13:50.058735 4 - Terminating component type RTP_Emulation.RTP_Emulation_CT. 10:13:50.058737 3 - Terminating component type RTP_Emulation.RTP_Emulation_CT. 10:13:50.058738 4 - Removing unterminated mapping between port RTP and system:RTP. 10:13:50.058741 3 - Removing unterminated mapping between port RTP and system:RTP. 10:13:50.058755 4 - Port RTP was unmapped from system:RTP. 10:13:50.058759 3 - Port RTP was unmapped from system:RTP. 10:13:50.058761 4 - Port RTP was stopped. 10:13:50.058764 4 - Removing unterminated mapping between port RTCP and system:RTCP. 10:13:50.058766 3 - Port RTP was stopped. 10:13:50.058770 3 - Removing unterminated mapping between port RTCP and system:RTCP. 10:13:50.058771 4 - Port RTCP was unmapped from system:RTCP. 10:13:50.058774 4 - Port RTCP was stopped. 10:13:50.058774 7 - Function main_client was stopped. PTC terminates. 10:13:50.058775 3 - Port RTCP was unmapped from system:RTCP. 10:13:50.058776 4 - Port CTRL was stopped. 10:13:50.058778 3 - Port RTCP was stopped. 10:13:50.058778 4 - Port DATA was stopped. 10:13:50.058781 4 - Component type RTP_Emulation.RTP_Emulation_CT was shut down inside testcase TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly. 10:13:50.058782 3 - Port CTRL was stopped. 10:13:50.058784 3 - Port DATA was stopped. 10:13:50.058785 4 - Final verdict of PTC: none 10:13:50.058786 3 - Component type RTP_Emulation.RTP_Emulation_CT was shut down inside testcase TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly. 10:13:50.058790 3 - Final verdict of PTC: none 10:13:50.058798 7 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:13:50.058802 6 - Port STATS was unmapped from system:STATS. 10:13:50.058807 7 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:13:50.058808 6 - Port STATS was stopped. 10:13:50.058811 6 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly. 10:13:50.058815 6 - Final verdict of PTC: none 10:13:50.058817 5 - Function f_main was stopped. PTC terminates. 10:13:50.058836 6 - Disconnected from MC. 10:13:50.058838 5 - Terminating component type RTP_Emulation.RTP_Emulation_CT. 10:13:50.058840 6 - TTCN-3 Parallel Test Component finished. 10:13:50.058847 5 - Removing unterminated mapping between port RTP and system:RTP. 10:13:50.058867 5 - Port RTP was unmapped from system:RTP. 10:13:50.058881 5 - Port RTP was stopped. 10:13:50.058890 5 - Removing unterminated mapping between port RTCP and system:RTCP. 10:13:50.058899 5 - Port RTCP was unmapped from system:RTCP. 10:13:50.058906 3 - Disconnected from MC. 10:13:50.058907 4 - Disconnected from MC. 10:13:50.058909 5 - Port RTCP was stopped. 10:13:50.058918 5 - Port CTRL was stopped. 10:13:50.058922 3 - TTCN-3 Parallel Test Component finished. 10:13:50.058922 4 - TTCN-3 Parallel Test Component finished. 10:13:50.058926 5 - Port DATA was stopped. 10:13:50.058933 5 - Component type RTP_Emulation.RTP_Emulation_CT was shut down inside testcase TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly. 10:13:50.058945 5 - Final verdict of PTC: none 10:13:50.058966 7 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:13:50.058982 7 - Port IPA_PORT was stopped. 10:13:50.058990 7 - Port CFG_PORT was stopped. 10:13:50.058996 7 - Port IPA_CTRL_PORT was stopped. 10:13:50.059002 7 - Port IPA_SP_PORT was stopped. 10:13:50.059007 7 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly. 10:13:50.059017 7 - Final verdict of PTC: none 10:13:50.059054 mtc MGCP_Test.ttcn:3229 Setting final verdict of the test case. 10:13:50.059056 7 - Disconnected from MC. 10:13:50.059061 5 - Disconnected from MC. 10:13:50.059065 7 - TTCN-3 Parallel Test Component finished. 10:13:50.059074 mtc MGCP_Test.ttcn:3229 Local verdict of MTC: pass 10:13:50.059077 5 - TTCN-3 Parallel Test Component finished. 10:13:50.059081 mtc MGCP_Test.ttcn:3229 Local verdict of PTC RTPEM0(3): none (pass -> pass) 10:13:50.059085 mtc MGCP_Test.ttcn:3229 Local verdict of PTC RTPEM1(4): none (pass -> pass) 10:13:50.059089 mtc MGCP_Test.ttcn:3229 Local verdict of PTC RTPEM2(5): none (pass -> pass) 10:13:50.059093 mtc MGCP_Test.ttcn:3229 Local verdict of PTC VirtCallAgent-STATS(6): none (pass -> pass) 10:13:50.059097 mtc MGCP_Test.ttcn:3229 Local verdict of PTC IPA-CTRL-CLI-IPA(7): none (pass -> pass) 10:13:50.059102 mtc MGCP_Test.ttcn:3229 Test case TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly finished. Verdict: pass 10:13:50.059109 mtc MGCP_Test.ttcn:3229 Starting external command `/home/osmocom-build/jenkins/workspace/osmith-ttcn3-mgw-test/_cache/podman/osmo-ttcn3-hacks/ttcn3-tcpdump-stop.sh MGCP_Test.TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly pass'. 10:13:52.101858 mtc MGCP_Test.ttcn:3229 External command `/home/osmocom-build/jenkins/workspace/osmith-ttcn3-mgw-test/_cache/podman/osmo-ttcn3-hacks/ttcn3-tcpdump-stop.sh MGCP_Test.TC_two_crcx_mdcx_and_iuup_rtp_mdcx_recvonly pass' was executed successfully (exit status: 0). 10:13:52.102209 mtc - Switching to log file `MGCP_Test--b5a93ff254e7-mtc.log'