10:45:05.466979 mtc BSC_Tests.ttcn:10947 Starting external command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_no_msc'. 10:45:06.476088 mtc BSC_Tests.ttcn:10947 External command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_no_msc' was executed successfully (exit status: 0). 10:45:06.476320 mtc BSC_Tests.ttcn:10947 Test case TC_no_msc started. 10:45:06.476410 mtc BSC_Tests.ttcn:10947 Initializing variables, timers and ports of component type BSC_Tests.test_CT inside testcase TC_no_msc. 10:45:06.476741 mtc BSC_Tests.ttcn:10947 Port IPA_CTRL was started. 10:45:06.476919 mtc BSC_Tests.ttcn:10947 Port RSL_CCHAN[0] was started. 10:45:06.476945 mtc BSC_Tests.ttcn:10947 Port RSL_CCHAN[1] was started. 10:45:06.476965 mtc BSC_Tests.ttcn:10947 Port RSL_CCHAN[2] was started. 10:45:06.476984 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][0] was started. 10:45:06.477003 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][1] was started. 10:45:06.477023 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][2] was started. 10:45:06.477043 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][3] was started. 10:45:06.477061 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][0] was started. 10:45:06.477079 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][1] was started. 10:45:06.477098 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][2] was started. 10:45:06.477116 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][3] was started. 10:45:06.477135 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][0] was started. 10:45:06.477153 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][1] was started. 10:45:06.477172 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][2] was started. 10:45:06.477190 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][3] was started. 10:45:06.477209 mtc BSC_Tests.ttcn:10947 Port IPA was started. 10:45:06.477227 mtc BSC_Tests.ttcn:10947 Port SCCPLITE_IPA_CTRL was started. 10:45:06.477247 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][0] was started. 10:45:06.477266 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][1] was started. 10:45:06.477284 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][2] was started. 10:45:06.477303 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][3] was started. 10:45:06.477321 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][0] was started. 10:45:06.477339 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][1] was started. 10:45:06.477357 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][2] was started. 10:45:06.477376 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][3] was started. 10:45:06.477394 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][0] was started. 10:45:06.477412 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][1] was started. 10:45:06.477431 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][2] was started. 10:45:06.477449 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][3] was started. 10:45:06.477467 mtc BSC_Tests.ttcn:10947 Port BSCVTY was started. 10:45:06.477486 mtc BSC_Tests.ttcn:10947 Port BSSAP was started. 10:45:06.477504 mtc BSC_Tests.ttcn:10947 Port BSSAP_LE was started. 10:45:06.477524 mtc BSC_Tests.ttcn:10947 Component type BSC_Tests.test_CT was initialized. 10:45:06.477563 mtc BSC_Tests.ttcn:1243 Start timer T_guard: 30 s 10:45:06.477599 mtc BSC_Tests.ttcn:1244 Altstep as_Tguard was activated as default, id 1 10:45:06.477633 mtc BSC_Tests.ttcn:1127 Mapping port mtc:BSCVTY to system:BSCVTY. 10:45:06.496530 mtc BSC_Tests.ttcn:1127 Message enqueued on BSCVTY from system integer : 2 id 1 10:45:06.496661 mtc BSC_Tests.ttcn:1127 Port BSCVTY was mapped to system:BSCVTY. 10:45:06.496929 mtc BSC_Tests.ttcn:1127 Map operation of mtc:BSCVTY to system:BSCVTY finished. 10:45:06.497068 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 10:45:06.497114 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 10:45:06.497143 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 10:45:06.497253 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 10:45:06.497372 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.497417 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:06.497471 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:06.497493 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:06.497515 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:06.497536 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:06.497565 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 10:45:06.497596 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 10:45:06.497628 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 10:45:06.497784 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 10:45:06.497894 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.497972 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:06.498001 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 10:45:06.498028 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 10:45:06.498057 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.498094 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:06.498149 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.498668 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 3 10:45:06.498868 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.498959 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:06.499056 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:06.499092 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 3 10:45:06.499128 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of BSCVTY. 10:45:06.499163 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.499205 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "cs7 instance 0" 10:45:06.499306 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.499696 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 4 10:45:06.499901 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.499991 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:06.500085 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 10:45:06.500122 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 4 10:45:06.500158 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of BSCVTY. 10:45:06.500192 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.500235 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer ias 420" 10:45:06.500333 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.500713 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 5 10:45:06.500917 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.501007 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:06.501098 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 10:45:06.501134 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 5 10:45:06.501168 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of BSCVTY. 10:45:06.501201 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.501243 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer iar 900" 10:45:06.501343 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.501719 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 6 10:45:06.501921 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.502014 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:06.502108 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 10:45:06.502143 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 6 10:45:06.502179 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of BSCVTY. 10:45:06.502212 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.502252 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 10:45:06.502374 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.502715 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 7 10:45:06.502920 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.503016 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:06.503051 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 7 10:45:06.503086 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of BSCVTY. 10:45:06.503120 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.503165 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:06.503265 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.503567 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 8 10:45:06.503640 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.503703 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:06.503792 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:06.503826 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 8 10:45:06.503856 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of BSCVTY. 10:45:06.503887 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.503939 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 10:45:06.503991 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.504270 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 9 10:45:06.504336 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.504395 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:06.504471 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:06.504502 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 9 10:45:06.504529 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of BSCVTY. 10:45:06.504559 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.504586 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 10:45:06.504628 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.504891 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 10 10:45:06.504950 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.505006 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:06.505079 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:06.505108 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 10 10:45:06.505134 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of BSCVTY. 10:45:06.505162 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.505187 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:06.505227 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.505318 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 11 10:45:06.505374 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.505427 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:06.505497 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:06.505524 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 11 10:45:06.505551 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 11 was extracted from the queue of BSCVTY. 10:45:06.505578 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.505602 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:06.505641 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.505709 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 12 10:45:06.505765 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.505822 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:06.505849 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 12 10:45:06.505886 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 12 was extracted from the queue of BSCVTY. 10:45:06.505915 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.505946 mtc StatsD_Checker.ttcn:251 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 10:45:06.518154 1822 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:06.518429 1822 - TTCN-3 Parallel Test Component started on 9a885065f0cf. Component reference: VirtMSC-STATS(1822), component type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. Version: 9.0.0. 10:45:06.518488 1822 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:06.518598 1822 - Connected to MC. 10:45:06.518633 1822 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_no_msc. 10:45:06.518838 mtc StatsD_Checker.ttcn:251 PTC was created. Component reference: 1822, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 10:45:06.518962 mtc StatsD_Checker.ttcn:252 Starting function main("172.18.145.203", 8125) on component VirtMSC-STATS(1822). 10:45:06.519242 mtc StatsD_Checker.ttcn:252 Function was started. 10:45:06.519372 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0 bssmap reset" 10:45:06.519483 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.519907 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 13 10:45:06.520108 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.520201 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:06.520234 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 13 10:45:06.520269 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 13 was extracted from the queue of BSCVTY. 10:45:06.520301 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.520346 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 1 bssmap reset" 10:45:06.520441 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.520555 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "% No such MSC: nr 1" id 14 10:45:06.520584 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 15 10:45:06.520637 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.520689 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:06.520748 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:06.520782 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:06.520810 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "% No such MSC: nr 1" with ? matched 10:45:06.520836 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "% No such MSC: nr 1" id 14 10:45:06.520862 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 14 was extracted from the queue of BSCVTY. 10:45:06.520919 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.520979 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:06.521006 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 15 10:45:06.521032 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 15 was extracted from the queue of BSCVTY. 10:45:06.521059 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.521087 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 2 bssmap reset" 10:45:06.521136 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.521531 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "% No such MSC: nr 2" id 16 10:45:06.521648 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 17 10:45:06.521773 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.521848 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:06.521910 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:06.521945 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:06.521973 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "% No such MSC: nr 2" with ? matched 10:45:06.522002 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "% No such MSC: nr 2" id 16 10:45:06.522032 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 16 was extracted from the queue of BSCVTY. 10:45:06.522095 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.522159 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:06.522187 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 17 10:45:06.522216 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 17 was extracted from the queue of BSCVTY. 10:45:06.522245 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.522413 mtc RAN_Adapter.ttcnpp:95 Creating new PTC with component type SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 10:45:06.523100 1822 - Port STATSVTY was started. 10:45:06.523120 1822 - Port STATSD_PROC was started. 10:45:06.523126 1822 - Port STATS was started. 10:45:06.523129 1822 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 10:45:06.523176 1822 - Starting function main("172.18.145.203", 8125). 10:45:06.523286 1822 StatsD_Checker.ttcn:103 Mapping port VirtMSC-STATS(1822):STATS to system:STATS. 10:45:06.523404 1822 StatsD_Checker.ttcn:103 Port STATS was mapped to system:STATS. 10:45:06.523469 1822 StatsD_Checker.ttcn:103 Map operation of VirtMSC-STATS(1822):STATS to system:STATS finished. 10:45:06.523577 1822 StatsD_Checker.ttcn:104 entering f__IPL4__PROVIDER__listen: 172.18.145.203:8125 / UDP 10:45:06.525060 1822 StatsD_Checker.ttcn:111 Mapping port VirtMSC-STATS(1822):STATSVTY to system:STATSVTY. 10:45:06.526166 1822 StatsD_Checker.ttcn:111 Message enqueued on STATSVTY from system integer : 2 id 1 10:45:06.526339 1822 StatsD_Checker.ttcn:111 Port STATSVTY was mapped to system:STATSVTY. 10:45:06.526509 1822 StatsD_Checker.ttcn:111 Map operation of VirtMSC-STATS(1822):STATSVTY to system:STATSVTY finished. 10:45:06.526873 1822 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 10:45:06.527010 1822 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 10:45:06.527041 1822 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 10:45:06.527276 1822 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 10:45:06.527417 1822 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.527502 1822 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:06.527517 1822 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:06.527526 1822 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:06.527538 1822 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:06.527565 1822 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:06.527646 1822 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 10:45:06.527681 1822 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 10:45:06.527703 1822 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 10:45:06.527744 1823 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:06.527834 1822 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 2 10:45:06.527917 1823 - Initializing variables, timers and ports of component type SCCP_Emulation.SCCP_CT inside testcase TC_no_msc. 10:45:06.527959 1822 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.528006 1822 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:06.528020 1822 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 10:45:06.528044 1822 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 10:45:06.528087 1822 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.528107 mtc RAN_Adapter.ttcnpp:95 PTC was created. Component reference: 1823, alive: no, type: SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 10:45:06.528120 1822 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 10:45:06.528153 1822 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:06.528175 mtc RAN_Adapter.ttcnpp:97 Creating new PTC with component type RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 10:45:06.528424 1822 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 3 10:45:06.528523 1822 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:06.528568 1822 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:06.528583 1822 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 3 10:45:06.528598 1822 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 10:45:06.528613 1822 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:06.530539 1823 - Component type SCCP_Emulation.SCCP_CT was initialized. 10:45:06.534369 1824 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:06.534459 1824 - TTCN-3 Parallel Test Component started on 9a885065f0cf. Component reference: VirtMSC-RAN(1824), component type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. Version: 9.0.0. 10:45:06.534482 1824 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:06.534522 1824 - Connected to MC. 10:45:06.534536 1824 - Initializing variables, timers and ports of component type RAN_Emulation.RAN_Emulation_CT inside testcase TC_no_msc. 10:45:06.534683 mtc RAN_Adapter.ttcnpp:97 PTC was created. Component reference: 1824, alive: no, type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 10:45:06.534738 mtc RAN_Adapter.ttcnpp:117 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. 10:45:06.536508 1824 - Port BSSAP was started. 10:45:06.536529 1824 - Port CLIENT was started. 10:45:06.536536 1824 - Port MGCP was started. 10:45:06.536542 1824 - Port CTRL was started. 10:45:06.536548 1824 - Port CTRL_CLIENT was started. 10:45:06.536554 1824 - Port PROC was started. 10:45:06.536561 1824 - Component type RAN_Emulation.RAN_Emulation_CT was initialized. 10:45:06.539114 1825 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:06.539173 1825 - TTCN-3 Parallel Test Component started on 9a885065f0cf. Component reference: VirtMSC-IPA(1825), component type: IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. Version: 9.0.0. 10:45:06.539189 1825 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:06.539217 1825 - Connected to MC. 10:45:06.539226 1825 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_no_msc. 10:45:06.539392 mtc RAN_Adapter.ttcnpp:117 PTC was created. Component reference: 1825, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. 10:45:06.539429 mtc RAN_Adapter.ttcnpp:118 Mapping port VirtMSC-IPA(1825):IPA_PORT to system:IPA_CODEC_PT. 10:45:06.540401 1825 - Port IPA_PORT was started. 10:45:06.540416 1825 - Port CFG_PORT was started. 10:45:06.540421 1825 - Port MTP3_SP_PORT was started. 10:45:06.540426 1825 - Port IPA_MGCP_PORT was started. 10:45:06.540431 1825 - Port IPA_RSL_PORT was started. 10:45:06.540436 1825 - Port IPA_OML_PORT was started. 10:45:06.540441 1825 - Port IPA_CTRL_PORT was started. 10:45:06.540446 1825 - Port IPA_SP_PORT was started. 10:45:06.540451 1825 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:45:06.540481 1825 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:45:06.540663 mtc RAN_Adapter.ttcnpp:118 Map operation of VirtMSC-IPA(1825):IPA_PORT to system:IPA_CODEC_PT finished. 10:45:06.540702 mtc RAN_Adapter.ttcnpp:120 Connecting ports VirtMSC-IPA(1825):MTP3_SP_PORT and VirtMSC-SCCP(1823):MTP3_SCCP_PORT. 10:45:06.541171 1825 - Port MTP3_SP_PORT has established the connection with VirtMSC-SCCP(1823):MTP3_SCCP_PORT using transport type UNIX. 10:45:06.541317 mtc RAN_Adapter.ttcnpp:120 Connect operation on VirtMSC-IPA(1825):MTP3_SP_PORT and VirtMSC-SCCP(1823):MTP3_SCCP_PORT finished. 10:45:06.541359 mtc RAN_Adapter.ttcnpp:122 Creating new PTC with component type IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. 10:45:06.545256 1826 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:06.545307 1826 - TTCN-3 Parallel Test Component started on 9a885065f0cf. Component reference: VirtMSC-IPA-WAIT(1826), component type: IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. Version: 9.0.0. 10:45:06.545320 1826 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:06.545344 1826 - Connected to MC. 10:45:06.545352 1826 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_EventWaiter_CT inside testcase TC_no_msc. 10:45:06.545508 mtc RAN_Adapter.ttcnpp:122 PTC was created. Component reference: 1826, alive: no, type: IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. 10:45:06.545541 mtc RAN_Adapter.ttcnpp:123 Connecting ports VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:06.545755 1825 - Port IPA_SP_PORT is waiting for connection from VirtMSC-IPA-WAIT(1826):IPA_SP_PORT on UNIX pathname /tmp/ttcn3-portconn-c26db52e. 10:45:06.546318 1826 - Port IPA_SP_PORT was started. 10:45:06.546334 1826 - Component type IPA_Emulation.IPA_EventWaiter_CT was initialized. 10:45:06.546363 1826 - Port IPA_SP_PORT has established the connection with VirtMSC-IPA(1825):IPA_SP_PORT using transport type UNIX. 10:45:06.546445 1825 - Port IPA_SP_PORT has accepted the connection from VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:06.546503 mtc RAN_Adapter.ttcnpp:123 Connect operation on VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT finished. 10:45:06.546535 mtc RAN_Adapter.ttcnpp:124 Starting function waiter_main({ ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := ?, id_resp := * }) on component VirtMSC-IPA-WAIT(1826). 10:45:06.546718 mtc RAN_Adapter.ttcnpp:124 Function was started. 10:45:06.546751 mtc RAN_Adapter.ttcnpp:125 Starting function main_server("172.18.145.203", 5000, true, IPA_INIT_SEND_IPA_ID_ACK (1), true) on component VirtMSC-IPA(1825). 10:45:06.546826 1826 - Starting function waiter_main({ ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := ?, id_resp := * }). 10:45:06.546938 mtc RAN_Adapter.ttcnpp:125 Function was started. 10:45:06.547019 1825 - Starting function main_server("172.18.145.203", 5000, true, IPA_INIT_SEND_IPA_ID_ACK (1), true). 10:45:06.547290 1825 IPA_Emulation.ttcnpp:325 entering f__IPL4__PROVIDER__listen: 172.18.145.203:5000 / TCP 10:45:10.332677 1825 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @Socket_API_Definitions.PortEvent : { connOpened := { connId := 2, remName := "172.18.145.20", remPort := 45753, locName := "172.18.145.203", locPort := 5000, proto := { tcp := { } }, userData := 0 } } id 1 10:45:10.333211 1825 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT failed: Type of the first message in the queue is not @IPA_CodecPort.IPA_RecvFrom. 10:45:10.333260 1825 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT failed: Type of the first message in the queue is not @IPA_CodecPort.IPA_RecvFrom. 10:45:10.333414 1825 IPA_Emulation.ttcnpp:822 Matching on port IPA_PORT succeeded: matched 10:45:10.333503 1825 IPA_Emulation.ttcnpp:822 Receive operation on port IPA_PORT succeeded, message from system(): @Socket_API_Definitions.PortEvent : { connOpened := { connId := 2, remName := "172.18.145.20", remPort := 45753, locName := "172.18.145.203", locPort := 5000, proto := { tcp := { } }, userData := 0 } } id 1 10:45:10.333557 1825 IPA_Emulation.ttcnpp:822 Message with id 1 was extracted from the queue of IPA_PORT. 10:45:10.333591 1825 IPA_Emulation.ttcnpp:824 Established a new IPA connection (conn_id=2) 10:45:10.334626 1825 IPA_Emulation.ttcnpp:374 Sent on IPA_SP_PORT to VirtMSC-IPA-WAIT(1826) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } 10:45:10.335178 1825 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.335573 1825 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 10:45:10.335647 1826 IPA_Emulation.ttcnpp:952 Message enqueued on IPA_SP_PORT from VirtMSC-IPA(1825) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } id 1 10:45:10.335947 1825 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.336187 1825 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 10:45:10.336372 1826 IPA_Emulation.ttcnpp:953 Matching on port IPA_SP_PORT ASP_IPA_EVENT_UP (1) with ASP_IPA_EVENT_ID_ACK (3) unmatched: First message in the queue does not match the template: 10:45:10.336399 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:10.336487 1826 IPA_Emulation.ttcnpp:956 Matching on port IPA_SP_PORT succeeded. 10:45:10.336540 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 10:45:10.336546 1826 IPA_Emulation.ttcnpp:956 Receive operation on port IPA_SP_PORT succeeded, message from VirtMSC-IPA(1825): @IPA_Emulation.ASP_IPA_Event: { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } id 1 10:45:10.336616 1826 IPA_Emulation.ttcnpp:956 Message with id 1 was extracted from the queue of IPA_SP_PORT. 10:45:10.336732 1825 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { tcp := { } }, msg := '0001FE06'O } 10:45:10.337207 1825 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "172.18.145.20", remPort := 45753, locName := "172.18.145.203", locPort := 5000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 10:45:10.337241 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 10:45:10.337321 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:10.337334 1825 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:10.337367 1825 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 10:45:10.337373 1825 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:10.337379 1825 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 10:45:10.337384 1825 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 10:45:10.337391 1825 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.337395 1825 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.337411 1825 IPA_Emulation.ttcnpp:374 Sent on IPA_SP_PORT to VirtMSC-IPA-WAIT(1826) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } 10:45:10.337474 1826 IPA_Emulation.ttcnpp:952 Message enqueued on IPA_SP_PORT from VirtMSC-IPA(1825) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } id 2 10:45:10.337516 1826 IPA_Emulation.ttcnpp:953 Matching on port IPA_SP_PORT succeeded: matched 10:45:10.337525 1826 IPA_Emulation.ttcnpp:953 Receive operation on port IPA_SP_PORT succeeded, message from VirtMSC-IPA(1825): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } id 2 10:45:10.337532 1826 IPA_Emulation.ttcnpp:953 Message with id 2 was extracted from the queue of IPA_SP_PORT. 10:45:10.337544 1826 IPA_Emulation.ttcnpp:954 setverdict(pass): none -> pass 10:45:10.337569 1826 - Function waiter_main finished. PTC terminates. 10:45:10.337576 1826 - Terminating component type IPA_Emulation.IPA_EventWaiter_CT. 10:45:10.337580 1826 - Removing unterminated connection between port IPA_SP_PORT and VirtMSC-IPA(1825):IPA_SP_PORT. 10:45:10.337594 1826 - Port IPA_SP_PORT was stopped. 10:45:10.337599 1826 - Component type IPA_Emulation.IPA_EventWaiter_CT was shut down inside testcase TC_no_msc. 10:45:10.337605 1826 - Final verdict of PTC: pass 10:45:10.337643 1826 - Disconnected from MC. 10:45:10.337652 1826 - TTCN-3 Parallel Test Component finished. 10:45:10.337656 1825 IPA_Emulation.ttcnpp:735 Connection of port IPA_SP_PORT to VirtMSC-IPA-WAIT(1826):IPA_SP_PORT was closed unexpectedly by the peer. 10:45:10.337677 1825 IPA_Emulation.ttcnpp:735 Port IPA_SP_PORT was disconnected from VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:10.337691 mtc RAN_Adapter.ttcnpp:129 PTC with component reference 1826 is done. 10:45:10.337721 mtc RAN_Adapter.ttcnpp:130 Disconnecting ports VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:10.337744 mtc RAN_Adapter.ttcnpp:130 Disconnect operation on VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT finished. 10:45:10.337756 mtc RAN_Adapter.ttcnpp:158 Start timer T: 5 s 10:45:10.337765 mtc RAN_Adapter.ttcnpp:170 Connecting BSSAP RAN_Emulation to SCCP_SP_PORT 10:45:10.337771 mtc RAN_Adapter.ttcnpp:171 Connecting ports VirtMSC-RAN(1824):BSSAP and VirtMSC-SCCP(1823):SCCP_SP_PORT. 10:45:10.338342 1824 - Port BSSAP has established the connection with VirtMSC-SCCP(1823):SCCP_SP_PORT using transport type UNIX. 10:45:10.338668 mtc RAN_Adapter.ttcnpp:171 Connect operation on VirtMSC-RAN(1824):BSSAP and VirtMSC-SCCP(1823):SCCP_SP_PORT finished. 10:45:10.338792 mtc RAN_Adapter.ttcnpp:178 Connecting MGCP RAN Emulation to IPA MGCP PORT 10:45:10.338845 mtc RAN_Adapter.ttcnpp:179 Connecting ports VirtMSC-IPA(1825):IPA_MGCP_PORT and VirtMSC-RAN(1824):MGCP. 10:45:10.339326 1824 - Port MGCP is waiting for connection from VirtMSC-IPA(1825):IPA_MGCP_PORT on UNIX pathname /tmp/ttcn3-portconn-db71a765. 10:45:10.339599 1825 IPA_Emulation.ttcnpp:735 Port IPA_MGCP_PORT has established the connection with VirtMSC-RAN(1824):MGCP using transport type UNIX. 10:45:10.339811 1824 - Port MGCP has accepted the connection from VirtMSC-IPA(1825):IPA_MGCP_PORT. 10:45:10.339947 mtc RAN_Adapter.ttcnpp:179 Connect operation on VirtMSC-IPA(1825):IPA_MGCP_PORT and VirtMSC-RAN(1824):MGCP finished. 10:45:10.340066 mtc RAN_Adapter.ttcnpp:184 Connecting CTRL RAN Emulation to IPA CTRL PORT 10:45:10.340118 mtc RAN_Adapter.ttcnpp:185 Connecting ports VirtMSC-IPA(1825):IPA_CTRL_PORT and VirtMSC-RAN(1824):CTRL. 10:45:10.340495 1824 - Port CTRL is waiting for connection from VirtMSC-IPA(1825):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-c973a577. 10:45:10.340695 1825 IPA_Emulation.ttcnpp:735 Port IPA_CTRL_PORT has established the connection with VirtMSC-RAN(1824):CTRL using transport type UNIX. 10:45:10.340910 1824 - Port CTRL has accepted the connection from VirtMSC-IPA(1825):IPA_CTRL_PORT. 10:45:10.340978 mtc RAN_Adapter.ttcnpp:185 Connect operation on VirtMSC-IPA(1825):IPA_CTRL_PORT and VirtMSC-RAN(1824):CTRL finished. 10:45:10.341097 mtc RAN_Adapter.ttcnpp:189 Starting RAN_Emulation 10:45:10.341195 mtc RAN_Adapter.ttcnpp:190 Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_SCCPlite_SERVER (1), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, "") on component VirtMSC-RAN(1824). 10:45:10.341428 mtc RAN_Adapter.ttcnpp:190 Function was started. 10:45:10.341476 mtc BSC_Tests.ttcn:1215 Connecting ports mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(1824):CTRL_CLIENT. 10:45:10.341746 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL is waiting for connection from VirtMSC-RAN(1824):CTRL_CLIENT on UNIX pathname /tmp/ttcn3-portconn-c018e002. 10:45:10.342741 1824 - Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_SCCPlite_SERVER (1), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, ""). 10:45:10.343634 1824 Osmocom_Types.ttcn:118 Start timer T: 1 s 10:45:10.343684 1824 Osmocom_Types.ttcn:119 Port CTRL_CLIENT has established the connection with mtc:SCCPLITE_IPA_CTRL using transport type UNIX. 10:45:10.343730 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL has accepted the connection from VirtMSC-RAN(1824):CTRL_CLIENT. 10:45:10.343758 mtc BSC_Tests.ttcn:1215 Connect operation on mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(1824):CTRL_CLIENT finished. 10:45:10.343764 mtc RAN_Adapter.ttcnpp:197 Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }) on component VirtMSC-SCCP(1823). 10:45:10.343788 mtc RAN_Adapter.ttcnpp:197 Function was started. 10:45:10.343796 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show running-config" 10:45:10.343818 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:10.343996 1823 - Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }). 10:45:10.344638 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 18 10:45:10.344689 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 19 10:45:10.345160 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:10.345743 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:10.346264 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:10.346860 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:10.347358 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with ? matched 10:45:10.347854 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 18 10:45:10.347897 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 18 was extracted from the queue of BSCVTY. 10:45:10.347909 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:10.347921 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:10.347926 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 19 10:45:10.347931 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 19 was extracted from the queue of BSCVTY. 10:45:10.347936 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:10.347946 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:10.347962 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:10.348058 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 20 10:45:10.348071 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:10.348081 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:10.348096 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:10.348101 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 20 10:45:10.348109 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 20 was extracted from the queue of BSCVTY. 10:45:10.348114 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:10.348119 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 10:45:10.348129 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:10.348224 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 21 10:45:10.348235 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:10.348245 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:10.348259 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:10.348264 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 21 10:45:10.348269 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 21 was extracted from the queue of BSCVTY. 10:45:10.348274 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:10.348279 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "allow-attach" 10:45:10.348287 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:10.348365 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 22 10:45:10.348376 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:10.348385 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:10.348397 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:10.348402 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 22 10:45:10.348407 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 22 was extracted from the queue of BSCVTY. 10:45:10.348411 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:10.348416 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:10.348422 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:10.348498 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 23 10:45:10.348507 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:10.348516 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:10.348529 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:10.348533 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 23 10:45:10.348538 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 23 was extracted from the queue of BSCVTY. 10:45:10.348542 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:10.348546 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:10.348553 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:10.348628 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 24 10:45:10.348638 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:10.348648 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:10.348654 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 24 10:45:10.348659 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 24 was extracted from the queue of BSCVTY. 10:45:10.348663 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:10.348670 mtc BSC_Tests.ttcn:1193 msc 1 is not configured, skipping 10:45:10.348679 mtc BSC_Tests.ttcn:1193 msc 2 is not configured, skipping 10:45:10.348685 mtc Osmocom_CTRL_Adapter.ttcn:69 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 10:45:10.351965 1827 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:10.352021 1827 - TTCN-3 Parallel Test Component started on 9a885065f0cf. Component reference: IPA-CTRL-CLI-IPA(1827), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. Version: 9.0.0. 10:45:10.352033 1827 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:10.352058 1827 - Connected to MC. 10:45:10.352064 1827 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_no_msc. 10:45:10.352107 mtc Osmocom_CTRL_Adapter.ttcn:69 PTC was created. Component reference: 1827, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 10:45:10.352114 mtc Osmocom_CTRL_Adapter.ttcn:71 Mapping port IPA-CTRL-CLI-IPA(1827):IPA_PORT to system:IPA_CODEC_PT. 10:45:10.353091 1827 - Port IPA_PORT was started. 10:45:10.353103 1827 - Port CFG_PORT was started. 10:45:10.353106 1827 - Port MTP3_SP_PORT was started. 10:45:10.353109 1827 - Port IPA_MGCP_PORT was started. 10:45:10.353112 1827 - Port IPA_RSL_PORT was started. 10:45:10.353115 1827 - Port IPA_OML_PORT was started. 10:45:10.353118 1827 - Port IPA_CTRL_PORT was started. 10:45:10.353121 1827 - Port IPA_SP_PORT was started. 10:45:10.353124 1827 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:45:10.353146 1827 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:45:10.353166 mtc Osmocom_CTRL_Adapter.ttcn:71 Map operation of IPA-CTRL-CLI-IPA(1827):IPA_PORT to system:IPA_CODEC_PT finished. 10:45:10.353172 mtc Osmocom_CTRL_Adapter.ttcn:72 Connecting ports IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT and mtc:IPA_CTRL. 10:45:10.353214 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL is waiting for connection from IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-8e15f21f. 10:45:10.353233 1827 - Port IPA_CTRL_PORT has established the connection with mtc:IPA_CTRL using transport type UNIX. 10:45:10.353246 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL has accepted the connection from IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT. 10:45:10.353254 mtc Osmocom_CTRL_Adapter.ttcn:72 Connect operation on IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT and mtc:IPA_CTRL finished. 10:45:10.353259 mtc Osmocom_CTRL_Adapter.ttcn:74 Starting function main_client("172.18.145.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false) on component IPA-CTRL-CLI-IPA(1827). 10:45:10.353280 mtc Osmocom_CTRL_Adapter.ttcn:74 Function was started. 10:45:10.353285 mtc Osmocom_CTRL_Adapter.ttcn:37 Start timer T: 10 s 10:45:10.353369 1827 - Starting function main_client("172.18.145.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false). 10:45:10.353511 1827 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :0 -> 172.18.145.20:4249 / TCP 10:45:10.354415 1827 IPA_Emulation.ttcnpp:388 Sent on IPA_CTRL_PORT to mtc @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 10:45:10.354476 mtc Osmocom_CTRL_Adapter.ttcn:38 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:10.354484 mtc Osmocom_CTRL_Adapter.ttcn:39 Matching on port IPA_CTRL succeeded: matched 10:45:10.354489 mtc Osmocom_CTRL_Adapter.ttcn:39 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:10.354494 mtc Osmocom_CTRL_Adapter.ttcn:39 Message with id 1 was extracted from the queue of IPA_CTRL. 10:45:10.354504 mtc BSC_Tests.ttcn:1103 Creating new alive PTC with component type MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 10:45:10.357683 1828 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:10.357733 1828 - TTCN-3 Parallel Test Component started on 9a885065f0cf. Component reference: VirtMGW-MGCP-0(1828), component type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. Version: 9.0.0. 10:45:10.357744 1828 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:10.357766 1828 - Connected to MC. 10:45:10.357772 1828 - Initializing variables, timers and ports of component type MGCP_Emulation.MGCP_Emulation_CT inside testcase TC_no_msc. 10:45:10.357791 mtc BSC_Tests.ttcn:1103 PTC was created. Component reference: 1828, alive: yes, type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 10:45:10.357798 mtc BSC_Tests.ttcn:1104 Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.145.20", callagent_udp_port := -1, mgw_ip := "172.18.145.203", mgw_udp_port := 2427, multi_conn_mode := true }, "VirtMGW-MGCP-0") on component VirtMGW-MGCP-0(1828). 10:45:10.357831 mtc BSC_Tests.ttcn:1104 Function was started. 10:45:10.357836 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 10:45:10.357843 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.875027. 10:45:10.357857 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "875026887", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.357865 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:10.357953 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "875026887", variable := "bts.0.oml-connection-state", val := omit } } id 1 10:45:10.358024 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:10.358043 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "875026887", variable := "bts.0.oml-connection-state", val := omit } } id 1 10:45:10.358057 1827 IPA_Emulation.ttcnpp:879 Message with id 1 was extracted from the queue of IPA_CTRL_PORT. 10:45:10.358073 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "875026887", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.358146 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 875026887 bts.0.oml-connection-state" 10:45:10.358494 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420383735303236383837206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 875026887 bts.0.oml-connection-state") } 10:45:10.358579 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420383735303236383837206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 875026887 bts.0.oml-connection-state") } 10:45:10.358641 1828 - Port MGCP was started. 10:45:10.358652 1828 - Port MGCP_CLIENT was started. 10:45:10.358656 1828 - Port MGCP_CLIENT_MULTI was started. 10:45:10.358659 1828 - Port MGCP_PROC was started. 10:45:10.358662 1828 - Component type MGCP_Emulation.MGCP_Emulation_CT was initialized. 10:45:10.358693 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420383735303236383837206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 10:45:10.358709 1828 - Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.145.20", callagent_udp_port := -1, mgw_ip := "172.18.145.203", mgw_udp_port := 2427, multi_conn_mode := true }, "VirtMGW-MGCP-0"). 10:45:10.358738 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420383735303236383837206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 10:45:10.358881 1828 MGCP_Emulation.ttcn:268 Mapping port VirtMGW-MGCP-0(1828):MGCP to system:MGCP_CODEC_PT. 10:45:10.358923 1828 MGCP_Emulation.ttcn:268 Port MGCP was mapped to system:MGCP_CODEC_PT. 10:45:10.358950 1828 MGCP_Emulation.ttcn:268 Map operation of VirtMGW-MGCP-0(1828):MGCP to system:MGCP_CODEC_PT finished. 10:45:10.359033 1828 MGCP_Emulation.ttcn:270 entering f__IPL4__PROVIDER__listen: 172.18.145.203:2427 / UDP 10:45:10.359043 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 4249, locName := "172.18.145.203", locPort := 38375, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920383735303236383837206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 1 10:45:10.359071 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920383735303236383837206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 10:45:10.359164 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920383735303236383837206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 875026887 bts.0.oml-connection-state degraded") } 10:45:10.359186 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920383735303236383837206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 875026887 bts.0.oml-connection-state degraded") } id 1 10:45:10.359227 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.359240 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920383735303236383837206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 875026887 bts.0.oml-connection-state degraded") } id 1 10:45:10.359247 1827 IPA_Emulation.ttcnpp:753 Message with id 1 was extracted from the queue of IPA_PORT. 10:45:10.359264 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 875026887 bts.0.oml-connection-state degraded" 10:45:10.359310 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 875026887 bts.0.oml-connection-state degraded 10:45:10.359317 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.359320 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.359361 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 875026887 bts.0.oml-connection-state degraded 10:45:10.359366 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.359372 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.359376 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:10.359380 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 875026887 bts.0.oml-connection-state degraded 10:45:10.359384 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.359387 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.359415 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 875026887 bts.0.oml-connection-state degraded 10:45:10.359419 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.359424 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.359427 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:10.359431 1827 IPA_Emulation.ttcnpp:627 match_begin data: 875026887 bts.0.oml-connection-state degraded 10:45:10.359434 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.359438 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.359443 1827 IPA_Emulation.ttcnpp:627 match_first data: 875026887 bts.0.oml-connection-state degraded 10:45:10.359447 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.359450 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:10.359454 1827 IPA_Emulation.ttcnpp:627 match_list data: 875026887 bts.0.oml-connection-state degraded 10:45:10.359457 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:10.359460 1827 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 10:45:10.359464 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.359467 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.359471 1827 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 10:45:10.359477 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.359480 1827 IPA_Emulation.ttcnpp:627 match_first result: 26 10:45:10.359484 1827 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 10:45:10.359487 1827 IPA_Emulation.ttcnpp:627 match_list result: 26 10:45:10.359490 1827 IPA_Emulation.ttcnpp:627 match_begin data: degraded 10:45:10.359494 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.359497 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.359501 1827 IPA_Emulation.ttcnpp:627 match_first data: degraded 10:45:10.359504 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.359507 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.359511 1827 IPA_Emulation.ttcnpp:627 match_list data: degraded 10:45:10.359514 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.359530 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "875026887", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:10.359552 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "875026887", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:10.359578 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "875026887", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 10:45:10.359589 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:10.359595 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "875026887", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 10:45:10.359600 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 2 was extracted from the queue of IPA_CTRL. 10:45:10.359605 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 10:45:10.359610 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 10:45:11.343876 1824 Osmocom_Types.ttcn:119 Timeout T: 1 s 10:45:11.348038 1824 RAN_Emulation.ttcnpp:537 Sent on BSSAP to VirtMSC-SCCP(1823) @BSSAP_CodecPort.BSSAP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } 10:45:11.348334 1824 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 10:45:11.349187 1824 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Stream after encoding: '000430040100'O 10:45:11.349439 1824 RAN_Emulation.ttcnpp:537 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } 10:45:11.349805 1824 RAN_Emulation.ttcnpp:538 Start timer T: 5 s 10:45:11.356162 1825 IPA_Emulation.ttcnpp:735 Message enqueued on MTP3_SP_PORT from VirtMSC-SCCP(1823) @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, data := '090003070B0443BB00FE0443B900FE06000430040100'O } id 1 10:45:11.356675 1825 IPA_Emulation.ttcnpp:857 Matching on port MTP3_SP_PORT succeeded: matched 10:45:11.356758 1825 IPA_Emulation.ttcnpp:857 Receive operation on port MTP3_SP_PORT succeeded, message from VirtMSC-SCCP(1823): @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, data := '090003070B0443BB00FE0443B900FE06000430040100'O } id 1 10:45:11.356825 1825 IPA_Emulation.ttcnpp:857 Message with id 1 was extracted from the queue of MTP3_SP_PORT. 10:45:11.356907 1825 IPA_Emulation.ttcnpp:860 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443BB00FE0443B900FE06000430040100'O } 10:45:11.356948 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, payloadData := '090003070B0443BB00FE0443B900FE06000430040100'O } 10:45:11.357013 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0016FD090003070B0443BB00FE0443B900FE06000430040100'O 10:45:11.357057 1825 IPA_Emulation.ttcnpp:860 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { tcp := { } }, msg := '0016FD090003070B0443BB00FE0443B900FE06000430040100'O } 10:45:11.358402 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 4249, locName := "172.18.145.203", locPort := 38375, proto := { tcp := { } }, userData := 0, msg := '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 2 10:45:11.358411 1825 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "172.18.145.20", remPort := 45753, locName := "172.18.145.203", locPort := 5000, proto := { tcp := { } }, userData := 0, msg := '0013FD090003070B0443B900FE0443BB00FE03000131'O } id 3 10:45:11.358523 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 10:45:11.358562 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0013FD090003070B0443B900FE0443BB00FE03000131'O 10:45:11.358595 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 41, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } 10:45:11.358622 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 19, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, payloadData := '090003070B0443B900FE0443BB00FE03000131'O } 10:45:11.358670 1825 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443B900FE0443BB00FE03000131'O } id 3 10:45:11.358684 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 10:45:11.358731 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 4249, locName := "172.18.145.203", locPort := 38375, proto := { tcp := { } }, userData := 0, msg := '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 3 10:45:11.358757 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 10:45:11.358796 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 39, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } 10:45:11.358853 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 10:45:11.358898 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.358903 1825 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_SCCP (253) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.358936 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 10:45:11.358961 1825 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.358990 1827 IPA_Emulation.ttcnpp:753 Message with id 2 was extracted from the queue of IPA_PORT. 10:45:11.358993 1825 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443B900FE0443BB00FE03000131'O } id 3 10:45:11.359023 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc.0.connection_status connected" 10:45:11.359026 1825 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 10:45:11.359060 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:11.359081 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:11.359103 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:11.359130 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:11.359150 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:11.359177 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:11.359197 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:11.359220 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:11.359239 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:11.359265 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:11.359285 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:11.359338 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:11.359359 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 10:45:11.359381 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:11.359400 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:11.359517 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:11.359539 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 10:45:11.359563 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:11.359583 1827 IPA_Emulation.ttcnpp:627 match_begin result: 7 10:45:11.359605 1827 IPA_Emulation.ttcnpp:627 match_first data: msc.0.connection_status connected 10:45:11.359606 1825 IPA_Emulation.ttcnpp:759 Sent on MTP3_SP_PORT to VirtMSC-SCCP(1823) @MTP3asp_Types.ASP_MTP3_TRANSFERind : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 0, dpc := 0, sls := 0, data := '090003070B0443B900FE0443BB00FE03000131'O } 10:45:11.359624 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:11.359642 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 10:45:11.359646 1827 IPA_Emulation.ttcnpp:627 match_first result: 23 10:45:11.359666 1827 IPA_Emulation.ttcnpp:627 match_list data: msc.0.connection_status connected 10:45:11.359685 1827 IPA_Emulation.ttcnpp:627 match_list result: 23 10:45:11.359707 1827 IPA_Emulation.ttcnpp:627 match_begin data: connected 10:45:11.359728 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:11.359749 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:11.359770 1827 IPA_Emulation.ttcnpp:627 match_first data: connected 10:45:11.359789 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:11.359789 mtc BSC_Tests.ttcn:981 Creating new alive PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 10:45:11.359808 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:11.359836 1827 IPA_Emulation.ttcnpp:627 match_list data: connected 10:45:11.359864 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:11.359895 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc.0.connection_status", val := "connected" } } 10:45:11.359978 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } 10:45:11.360088 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.360115 mtc BSC_Tests.ttcn:981 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 10:45:11.360150 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 10:45:11.360201 1827 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 10:45:11.360242 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc_connection_status connected" 10:45:11.360292 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:11.360331 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:11.360366 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:11.360404 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:11.360437 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:11.360500 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:11.360539 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:11.360576 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:11.360610 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:11.360656 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:11.360690 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:11.360728 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:11.360764 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 10:45:11.360803 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:11.360837 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:11.360879 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:11.360913 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 10:45:11.360952 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:11.360988 1827 IPA_Emulation.ttcnpp:627 match_begin result: 7 10:45:11.361023 1827 IPA_Emulation.ttcnpp:627 match_first data: msc_connection_status connected 10:45:11.361058 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:11.361092 1827 IPA_Emulation.ttcnpp:627 match_first result: 21 10:45:11.361124 1827 IPA_Emulation.ttcnpp:627 match_list data: msc_connection_status connected 10:45:11.361159 1827 IPA_Emulation.ttcnpp:627 match_list result: 21 10:45:11.361190 1827 IPA_Emulation.ttcnpp:627 match_begin data: connected 10:45:11.361222 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:11.361258 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:11.361291 1827 IPA_Emulation.ttcnpp:627 match_first data: connected 10:45:11.361324 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:11.361360 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:11.361392 1827 IPA_Emulation.ttcnpp:627 match_list data: connected 10:45:11.361427 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:11.361462 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc_connection_status", val := "connected" } } 10:45:11.361519 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } 10:45:11.361603 mtc BSC_Tests.ttcn:981 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 10:45:11.362910 1824 RAN_Emulation.ttcnpp:539 Message enqueued on BSSAP from VirtMSC-SCCP(1823) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000131'O, importance := omit } id 1 10:45:11.363144 1824 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Stream before decoding: '000131'O 10:45:11.366415 1824 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 10:45:11.366447 1824 RAN_Emulation.ttcnpp:539 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 10:45:11.366551 1824 RAN_Emulation.ttcnpp:540 Matching on port BSSAP succeeded: matched 10:45:11.366570 1824 RAN_Emulation.ttcnpp:540 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(1823): @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 10:45:11.366579 1824 RAN_Emulation.ttcnpp:540 Message with id 1 was extracted from the queue of BSSAP. 10:45:11.366591 1824 RAN_Emulation.ttcnpp:541 BSSMAP: Received RESET-ACK in response to RESET, we're ready to go! 10:45:11.367522 1829 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:11.367578 1829 - TTCN-3 Parallel Test Component started on 9a885065f0cf. Component reference: IPA-BTS0-TRX0-RSL-IPA(1829), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. Version: 9.0.0. 10:45:11.367589 1829 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:11.367616 1829 - Connected to MC. 10:45:11.367622 1829 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_no_msc. 10:45:11.367718 mtc BSC_Tests.ttcn:981 PTC was created. Component reference: 1829, alive: yes, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 10:45:11.367730 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Encoding @IPA_Types.IpaUnitId: { site_id := 1234, bts_id := 0, trx_id := 0 } 10:45:11.367743 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Stream after encoding: "1234/0/0" 10:45:11.367748 mtc BSC_Tests.ttcn:986 Creating new alive PTC with component type RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 10:45:11.370135 1829 - Port IPA_PORT was started. 10:45:11.370172 1829 - Port CFG_PORT was started. 10:45:11.370185 1829 - Port MTP3_SP_PORT was started. 10:45:11.370198 1829 - Port IPA_MGCP_PORT was started. 10:45:11.370210 1829 - Port IPA_RSL_PORT was started. 10:45:11.370222 1829 - Port IPA_OML_PORT was started. 10:45:11.370235 1829 - Port IPA_CTRL_PORT was started. 10:45:11.370247 1829 - Port IPA_SP_PORT was started. 10:45:11.370260 1829 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:45:11.375298 1830 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:11.375400 1830 - TTCN-3 Parallel Test Component started on 9a885065f0cf. Component reference: IPA-BTS0-TRX0-RSL-RSL(1830), component type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. Version: 9.0.0. 10:45:11.375425 1830 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:11.375471 1830 - Connected to MC. 10:45:11.375484 1830 - Initializing variables, timers and ports of component type RSL_Emulation.RSL_Emulation_CT inside testcase TC_no_msc. 10:45:11.375714 mtc BSC_Tests.ttcn:986 PTC was created. Component reference: 1830, alive: yes, type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 10:45:11.375811 mtc BSC_Tests.ttcn:987 Connecting ports IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT and mtc:RSL_CCHAN[0]. 10:45:11.376106 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT on UNIX pathname /tmp/ttcn3-portconn-d77af91e. 10:45:11.377797 1830 - Port IPA_PT was started. 10:45:11.377827 1830 - Port CLIENT_PT was started. 10:45:11.377838 1830 - Port RSL_PROC was started. 10:45:11.377848 1830 - Port CCHAN_PT was started. 10:45:11.377857 1830 - Component type RSL_Emulation.RSL_Emulation_CT was initialized. 10:45:11.377907 1830 - Port CCHAN_PT has established the connection with mtc:RSL_CCHAN[0] using transport type UNIX. 10:45:11.378154 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT. 10:45:11.378279 mtc BSC_Tests.ttcn:987 Connect operation on IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT and mtc:RSL_CCHAN[0] finished. 10:45:11.378364 mtc BSC_Tests.ttcn:990 Mapping port IPA-BTS0-TRX0-RSL-IPA(1829):IPA_PORT to system:IPA_CODEC_PT. 10:45:11.378668 1829 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:45:11.379001 mtc BSC_Tests.ttcn:990 Map operation of IPA-BTS0-TRX0-RSL-IPA(1829):IPA_PORT to system:IPA_CODEC_PT finished. 10:45:11.379133 mtc BSC_Tests.ttcn:991 Connecting ports IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT and mtc:IPA_CFG_PORT[0][0]. 10:45:11.379528 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] is waiting for connection from IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT on UNIX pathname /tmp/ttcn3-portconn-86528b6e. 10:45:11.379817 1829 - Port CFG_PORT has established the connection with mtc:IPA_CFG_PORT[0][0] using transport type UNIX. 10:45:11.380091 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] has accepted the connection from IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT. 10:45:11.380229 mtc BSC_Tests.ttcn:991 Connect operation on IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT and mtc:IPA_CFG_PORT[0][0] finished. 10:45:11.380293 mtc BSC_Tests.ttcn:993 Connecting ports IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT. 10:45:11.380737 1829 - Port IPA_RSL_PORT is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT on UNIX pathname /tmp/ttcn3-portconn-d52ea064. 10:45:11.381037 1830 - Port IPA_PT has established the connection with IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT using transport type UNIX. 10:45:11.381224 1829 - Port IPA_RSL_PORT has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT. 10:45:11.381356 mtc BSC_Tests.ttcn:993 Connect operation on IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT finished. 10:45:11.381513 mtc BSC_Tests.ttcn:999 Starting function main_client("172.18.145.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true) on component IPA-BTS0-TRX0-RSL-IPA(1829). 10:45:11.381690 mtc BSC_Tests.ttcn:999 Function was started. 10:45:11.381749 mtc BSC_Tests.ttcn:1001 Starting function main(true) on component IPA-BTS0-TRX0-RSL-RSL(1830). 10:45:11.381861 mtc BSC_Tests.ttcn:1001 Function was started. 10:45:11.381943 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 10:45:11.382007 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.634628. 10:45:11.382055 1830 - Starting function main(true). 10:45:11.382100 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "634627823", variable := "bts.0.oml-connection-state", val := omit } } 10:45:11.382162 1829 - Starting function main_client("172.18.145.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true). 10:45:11.382177 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:11.382257 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc.0.connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "634627823", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 10:45:11.382314 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "634627823", variable := "bts.0.oml-connection-state", val := omit } } id 2 10:45:11.382350 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 10:45:11.382410 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 10:45:11.382431 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:11.382461 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 3 was extracted from the queue of IPA_CTRL. 10:45:11.382470 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "634627823", variable := "bts.0.oml-connection-state", val := omit } } id 2 10:45:11.382502 1827 IPA_Emulation.ttcnpp:879 Message with id 2 was extracted from the queue of IPA_CTRL_PORT. 10:45:11.382524 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "634627823", variable := "bts.0.oml-connection-state", val := omit } } 10:45:11.382534 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc_connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "634627823", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 10:45:11.382559 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 634627823 bts.0.oml-connection-state" 10:45:11.382592 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 10:45:11.382627 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420363334363237383233206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 634627823 bts.0.oml-connection-state") } 10:45:11.382643 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 10:45:11.382661 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420363334363237383233206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 634627823 bts.0.oml-connection-state") } 10:45:11.382691 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 4 was extracted from the queue of IPA_CTRL. 10:45:11.382733 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420363334363237383233206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 10:45:11.382800 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420363334363237383233206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 10:45:11.382851 1829 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :10000 -> 172.18.145.20:3003 / TCP 10:45:11.383355 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 4249, locName := "172.18.145.203", locPort := 38375, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920363334363237383233206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 4 10:45:11.383456 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920363334363237383233206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 10:45:11.383516 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920363334363237383233206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 634627823 bts.0.oml-connection-state degraded") } 10:45:11.383592 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920363334363237383233206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 634627823 bts.0.oml-connection-state degraded") } id 4 10:45:11.383629 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.383666 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920363334363237383233206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 634627823 bts.0.oml-connection-state degraded") } id 4 10:45:11.383694 1827 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 10:45:11.383719 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 634627823 bts.0.oml-connection-state degraded" 10:45:11.383751 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 634627823 bts.0.oml-connection-state degraded 10:45:11.383770 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:11.383789 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:11.383826 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 634627823 bts.0.oml-connection-state degraded 10:45:11.383845 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:11.383869 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:11.383887 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:11.383905 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 634627823 bts.0.oml-connection-state degraded 10:45:11.383923 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:11.383942 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:11.383974 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 634627823 bts.0.oml-connection-state degraded 10:45:11.383991 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:11.384015 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:11.384032 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:11.384050 1827 IPA_Emulation.ttcnpp:627 match_begin data: 634627823 bts.0.oml-connection-state degraded 10:45:11.384067 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:11.384084 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:11.384102 1827 IPA_Emulation.ttcnpp:627 match_first data: 634627823 bts.0.oml-connection-state degraded 10:45:11.384120 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:11.384137 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:11.384154 1827 IPA_Emulation.ttcnpp:627 match_list data: 634627823 bts.0.oml-connection-state degraded 10:45:11.384189 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:11.384206 1827 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 10:45:11.384223 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:11.384240 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:11.384257 1827 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 10:45:11.384274 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:11.384291 1827 IPA_Emulation.ttcnpp:627 match_first result: 26 10:45:11.384307 1827 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 10:45:11.384324 1827 IPA_Emulation.ttcnpp:627 match_list result: 26 10:45:11.384341 1827 IPA_Emulation.ttcnpp:627 match_begin data: degraded 10:45:11.384358 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:11.384376 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:11.384394 1827 IPA_Emulation.ttcnpp:627 match_first data: degraded 10:45:11.384410 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:11.384427 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:11.384444 1827 IPA_Emulation.ttcnpp:627 match_list data: degraded 10:45:11.384461 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:11.384479 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "634627823", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:11.384518 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "634627823", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:11.384668 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "634627823", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 10:45:11.384793 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:11.384865 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "634627823", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 10:45:11.384922 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 5 was extracted from the queue of IPA_CTRL. 10:45:11.384979 mtc Osmocom_Types.ttcn:118 Start timer T: 0.1 s 10:45:11.386277 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:11.386761 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 10:45:11.387446 1829 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:11.387835 1829 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 10:45:11.388163 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:11.388321 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 10:45:11.388550 1829 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0001FE06'O } 10:45:11.389949 1829 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 10:45:11.390946 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:11.391460 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT succeeded: matched 10:45:11.391545 1830 RSL_Emulation.ttcn:497 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:11.391604 1830 RSL_Emulation.ttcn:497 Message with id 1 was extracted from the queue of IPA_PT. 10:45:11.392539 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0011FE0401080107010201030104010501010100'O } id 1 10:45:11.392648 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0011FE0401080107010201030104010501010100'O 10:45:11.392992 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 17, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '0401080107010201030104010501010100'O } 10:45:11.393054 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 10:45:11.393135 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 10:45:11.393161 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 10:45:11.393185 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:11.393210 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:11.393419 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 10:45:11.393483 1829 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 10:45:11.393513 1829 IPA_Emulation.ttcnpp:737 Message with id 1 was extracted from the queue of IPA_PORT. 10:45:11.393534 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '0401080107010201030104010501010100'O 10:45:11.393602 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 10:45:11.393652 1829 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 10:45:11.394187 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 10:45:11.394342 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 10:45:11.394398 1829 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 10:45:11.394558 1829 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 10:45:11.394589 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 10:45:11.394637 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 10:45:11.394677 1829 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 10:45:11.394783 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 10:45:11.394807 1829 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:11.394823 1829 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 10:45:11.394838 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 10:45:11.394859 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:11.394880 1829 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:11.394927 1829 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } 10:45:11.395059 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 10:45:11.395303 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_UP (1) unmatched: First message in the queue does not match the template: 10:45:11.395344 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_DOWN (0) unmatched: First message in the queue does not match the template: 10:45:11.395360 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT succeeded: matched 10:45:11.395379 1830 RSL_Emulation.ttcn:522 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 10:45:11.395397 1830 RSL_Emulation.ttcn:522 Message with id 2 was extracted from the queue of IPA_PT. 10:45:11.396151 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 10:45:11.396189 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0127175506198FB38000000000000000000000000000E500002B'O 10:45:11.396224 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } 10:45:11.396262 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 10:45:11.396435 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.396467 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.396483 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 10:45:11.396499 1829 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 10:45:11.396628 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O 10:45:11.396636 1830 RSL_Emulation.ttcn:523 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } 10:45:11.397575 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } 10:45:11.399213 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } 10:45:11.399530 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 10:45:11.399554 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E02271759061A00000000000000000000000000000000FFE50000'O 10:45:11.399575 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } 10:45:11.399593 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 10:45:11.399606 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0006000C1101801E0A'O } id 5 10:45:11.399616 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0006000C1101801E0A'O 10:45:11.399626 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 6, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0A'O } 10:45:11.399637 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 10:45:11.399650 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 10:45:11.399659 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 10:45:11.399671 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } 10:45:11.399686 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 10:45:11.399698 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 10:45:11.399709 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 10:45:11.399721 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } 10:45:11.399742 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 10:45:11.399754 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 10:45:11.399762 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O 10:45:11.399774 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } 10:45:11.399787 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 10:45:11.399799 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 10:45:11.399807 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O 10:45:11.399819 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } 10:45:11.399832 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 10:45:11.399844 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 10:45:11.399853 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 10:45:11.399865 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } 10:45:11.399878 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 10:45:11.399890 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E050B001349061D10000000000000000000000000000000'O } id 11 10:45:11.399898 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E050B001349061D10000000000000000000000000000000'O 10:45:11.399902 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 3 10:45:11.399909 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E050B001349061D10000000000000000000000000000000'O } 10:45:11.399926 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 10:45:11.399937 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400101A1E0D'O } id 12 10:45:11.399946 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400101A1E0D'O 10:45:11.399955 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0D'O } 10:45:11.399965 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 10:45:11.400024 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.400040 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.400047 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.400265 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 10:45:11.400313 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.400328 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.400338 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 10:45:11.400349 1829 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 10:45:11.400357 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O 10:45:11.400381 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:11.400382 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } 10:45:11.400465 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } 10:45:11.400486 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.400488 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.400499 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.400508 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 10:45:11.400520 1829 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 10:45:11.400530 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0A'O 10:45:11.400542 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } 10:45:11.400552 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:11.400565 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 10:45:11.400573 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:11.400578 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.400586 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.400589 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 3 10:45:11.400594 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 10:45:11.400601 1830 RSL_Emulation.ttcn:589 Message with id 3 was extracted from the queue of IPA_PT. 10:45:11.400602 1829 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 10:45:11.400609 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 10:45:11.400623 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } 10:45:11.400650 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 10:45:11.400663 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.400670 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.400678 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 10:45:11.400683 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } 10:45:11.400686 1829 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 10:45:11.400693 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 10:45:11.400705 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } 10:45:11.400734 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 10:45:11.400747 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.400754 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.400762 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 10:45:11.400769 1829 IPA_Emulation.ttcnpp:753 Message with id 8 was extracted from the queue of IPA_PORT. 10:45:11.400776 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O 10:45:11.400789 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } 10:45:11.400813 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } 10:45:11.400823 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 4 10:45:11.400826 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.400834 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.400842 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 10:45:11.400846 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 10:45:11.400849 1829 IPA_Emulation.ttcnpp:753 Message with id 9 was extracted from the queue of IPA_PORT. 10:45:11.400855 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O 10:45:11.400862 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 10:45:11.400867 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } 10:45:11.400876 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 10:45:11.400887 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.400892 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } 10:45:11.400894 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.400901 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.400905 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.400906 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 1 10:45:11.400912 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.400919 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:11.400920 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 10:45:11.400928 1829 IPA_Emulation.ttcnpp:753 Message with id 10 was extracted from the queue of IPA_PORT. 10:45:11.400936 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 10:45:11.400943 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.400948 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } 10:45:11.400957 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:11.400971 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 10:45:11.400984 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.400988 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:11.400991 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.400999 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 10:45:11.401004 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 4 10:45:11.401006 1829 IPA_Emulation.ttcnpp:753 Message with id 11 was extracted from the queue of IPA_PORT. 10:45:11.401013 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E050B001349061D10000000000000000000000000000000'O 10:45:11.401015 1830 RSL_Emulation.ttcn:589 Message with id 4 was extracted from the queue of IPA_PT. 10:45:11.401027 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } 10:45:11.401041 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401042 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } 10:45:11.401048 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401054 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401076 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 2 10:45:11.401111 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 10:45:11.401128 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.401134 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatchedRSL_CHAN_NR_BCCH (16) with RSL_CHAN_NR_PCH_AGCH (18) unmatched.rsl.ies[1].body{ sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:11.401136 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.401144 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 10:45:11.401151 1829 IPA_Emulation.ttcnpp:753 Message with id 12 was extracted from the queue of IPA_PORT. 10:45:11.401157 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0D'O 10:45:11.401157 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.401167 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } 10:45:11.401171 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:11.401181 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:11.401182 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 10:45:11.401190 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 10:45:11.401200 1830 RSL_Emulation.ttcn:589 Message with id 5 was extracted from the queue of IPA_PT. 10:45:11.401209 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 10:45:11.401224 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401230 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401236 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401251 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:11.401255 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:11.401271 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.401279 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 10:45:11.401284 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:11.401293 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:11.401300 1829 IPA_Emulation.ttcnpp:913 Message with id 1 was extracted from the queue of IPA_RSL_PORT. 10:45:11.401303 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 10:45:11.401312 1830 RSL_Emulation.ttcn:589 Message with id 6 was extracted from the queue of IPA_PT. 10:45:11.401322 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 10:45:11.401325 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } 10:45:11.401333 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401340 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401346 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401348 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 3 10:45:11.401365 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:11.401385 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.401398 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:11.401406 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:11.401415 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 10:45:11.401424 1830 RSL_Emulation.ttcn:589 Message with id 7 was extracted from the queue of IPA_PT. 10:45:11.401433 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 10:45:11.401468 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 8 10:45:11.401482 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 9 10:45:11.401497 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 10:45:11.401500 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 4 10:45:11.401525 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 10:45:11.401533 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C1201900F0017'O 10:45:11.401538 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 10:45:11.401547 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401548 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 5 10:45:11.401553 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401559 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401565 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1201900F0017'O } 10:45:11.401575 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:11.401576 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1201900F0017'O } 10:45:11.401587 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0007000C1201900F0017'O 10:45:11.401594 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.401596 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0007000C1201900F0017'O } 10:45:11.401607 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:11.401615 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:11.401627 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 8 10:45:11.401636 1830 RSL_Emulation.ttcn:589 Message with id 8 was extracted from the queue of IPA_PT. 10:45:11.401640 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 10:45:11.401645 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } 10:45:11.401656 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E0E0B00134906069E050020000000000000000000000000'O 10:45:11.401656 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401662 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401666 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0E0B00134906069E050020000000000000000000000000'O } 10:45:11.401668 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401681 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 10:45:11.401682 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:11.401682 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 6 10:45:11.401690 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001400101A1E060B000D2D061E000000F110000197FF2B'O } id 14 10:45:11.401697 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001400101A1E060B000D2D061E000000F110000197FF2B'O 10:45:11.401700 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.401706 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 20, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E060B000D2D061E000000F110000197FF2B'O } 10:45:11.401711 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:11.401716 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 10:45:11.401719 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:11.401726 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 10:45:11.401728 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 9 10:45:11.401733 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 10:45:11.401745 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } 10:45:11.401745 1830 RSL_Emulation.ttcn:589 Message with id 9 was extracted from the queue of IPA_PT. 10:45:11.401754 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } 10:45:11.401759 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 10:45:11.401764 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401767 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.401769 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401775 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.401775 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401783 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 10:45:11.401786 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 7 10:45:11.401789 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:11.401790 1829 IPA_Emulation.ttcnpp:753 Message with id 13 was extracted from the queue of IPA_PORT. 10:45:11.401796 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0E0B00134906069E050020000000000000000000000000'O 10:45:11.401806 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.401808 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } 10:45:11.401817 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:11.401825 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:11.401833 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 10:45:11.401833 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 10:45:11.401841 1830 RSL_Emulation.ttcn:589 Message with id 10 was extracted from the queue of IPA_PT. 10:45:11.401846 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.401850 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 10:45:11.401853 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.401860 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 10:45:11.401862 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401866 1829 IPA_Emulation.ttcnpp:753 Message with id 14 was extracted from the queue of IPA_PORT. 10:45:11.401868 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401871 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E060B000D2D061E000000F110000197FF2B'O 10:45:11.401873 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401882 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } 10:45:11.401885 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 8 10:45:11.401889 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5 (5) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:11.401899 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 10:45:11.401906 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.401910 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:11.401916 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.401916 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:11.401925 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 10:45:11.401926 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 10:45:11.401932 1829 IPA_Emulation.ttcnpp:753 Message with id 15 was extracted from the queue of IPA_PORT. 10:45:11.401934 1830 RSL_Emulation.ttcn:584 Message with id 11 was extracted from the queue of IPA_PT. 10:45:11.401938 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 10:45:11.401942 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 10:45:11.401954 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401959 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401964 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.401976 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 9 10:45:11.401978 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:11.401995 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.402000 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } 10:45:11.402006 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:11.402013 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 10:45:11.402019 1830 RSL_Emulation.ttcn:584 Message with id 12 was extracted from the queue of IPA_PT. 10:45:11.402026 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 10:45:11.402052 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 10 10:45:11.402055 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 10:45:11.402066 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 10:45:11.402073 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.402079 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.402084 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.402096 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:11.402111 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.402124 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:11.402131 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 10:45:11.402138 1830 RSL_Emulation.ttcn:584 Message with id 13 was extracted from the queue of IPA_PT. 10:45:11.402145 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 10:45:11.402146 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 10:45:11.402157 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.402162 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.402167 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.402176 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 11 10:45:11.402178 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_6 (6) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:11.402194 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.402204 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:11.402211 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 10:45:11.402218 1830 RSL_Emulation.ttcn:584 Message with id 14 was extracted from the queue of IPA_PT. 10:45:11.402225 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 10:45:11.402255 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 12 10:45:11.402307 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 10:45:11.402319 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.402325 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.402330 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:11.402346 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:11.402366 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:11.402376 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:11.402387 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 10:45:11.402397 1830 RSL_Emulation.ttcn:584 Message with id 15 was extracted from the queue of IPA_PT. 10:45:11.402407 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 10:45:11.402446 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 13 10:45:11.485040 mtc Osmocom_Types.ttcn:119 Timeout T: 0.1 s 10:45:11.485271 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.928885. 10:45:11.485387 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "928884745", variable := "bts.0.oml-connection-state", val := omit } } 10:45:11.485496 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:11.485687 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "928884745", variable := "bts.0.oml-connection-state", val := omit } } id 3 10:45:11.485930 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:11.485972 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "928884745", variable := "bts.0.oml-connection-state", val := omit } } id 3 10:45:11.486004 1827 IPA_Emulation.ttcnpp:879 Message with id 3 was extracted from the queue of IPA_CTRL_PORT. 10:45:11.486031 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "928884745", variable := "bts.0.oml-connection-state", val := omit } } 10:45:11.486072 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 928884745 bts.0.oml-connection-state" 10:45:11.486154 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420393238383834373435206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 928884745 bts.0.oml-connection-state") } 10:45:11.486193 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420393238383834373435206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 928884745 bts.0.oml-connection-state") } 10:45:11.486260 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420393238383834373435206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 10:45:11.486340 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420393238383834373435206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 10:45:11.486951 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 4249, locName := "172.18.145.203", locPort := 38375, proto := { tcp := { } }, userData := 0, msg := '0039EE004745545F5245504C5920393238383834373435206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O } id 5 10:45:11.487090 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0039EE004745545F5245504C5920393238383834373435206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O 10:45:11.487159 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 57, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920393238383834373435206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 928884745 bts.0.oml-connection-state connected") } 10:45:11.487246 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920393238383834373435206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 928884745 bts.0.oml-connection-state connected") } id 5 10:45:11.487289 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:11.487331 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920393238383834373435206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 928884745 bts.0.oml-connection-state connected") } id 5 10:45:11.487362 1827 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 10:45:11.487391 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 928884745 bts.0.oml-connection-state connected" 10:45:11.487428 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 928884745 bts.0.oml-connection-state connected 10:45:11.487450 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:11.487472 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:11.487515 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 928884745 bts.0.oml-connection-state connected 10:45:11.487536 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:11.487564 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:11.487585 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:11.487605 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 928884745 bts.0.oml-connection-state connected 10:45:11.487625 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:11.487648 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:11.487682 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 928884745 bts.0.oml-connection-state connected 10:45:11.487701 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:11.487727 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:11.487746 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:11.487767 1827 IPA_Emulation.ttcnpp:627 match_begin data: 928884745 bts.0.oml-connection-state connected 10:45:11.487786 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:11.487806 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:11.487828 1827 IPA_Emulation.ttcnpp:627 match_first data: 928884745 bts.0.oml-connection-state connected 10:45:11.487846 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:11.487866 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:11.487886 1827 IPA_Emulation.ttcnpp:627 match_list data: 928884745 bts.0.oml-connection-state connected 10:45:11.487905 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:11.487925 1827 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state connected 10:45:11.487943 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:11.487963 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:11.487983 1827 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state connected 10:45:11.488002 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:11.488022 1827 IPA_Emulation.ttcnpp:627 match_first result: 26 10:45:11.488040 1827 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state connected 10:45:11.488070 1827 IPA_Emulation.ttcnpp:627 match_list result: 26 10:45:11.488089 1827 IPA_Emulation.ttcnpp:627 match_begin data: connected 10:45:11.488109 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:11.488129 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:11.488149 1827 IPA_Emulation.ttcnpp:627 match_first data: connected 10:45:11.488168 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:11.488187 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:11.488206 1827 IPA_Emulation.ttcnpp:627 match_list data: connected 10:45:11.488226 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:11.488246 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "928884745", variable := "bts.0.oml-connection-state", val := "connected" } } 10:45:11.488290 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "928884745", variable := "bts.0.oml-connection-state", val := "connected" } } 10:45:11.488520 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "928884745", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 10:45:11.488703 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:11.488778 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "928884745", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 10:45:11.488838 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 6 was extracted from the queue of IPA_CTRL. 10:45:11.488897 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 10:45:11.488953 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 10:45:12.489368 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 10:45:12.489603 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:12.489811 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.490564 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 25 10:45:12.490897 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.490997 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.491095 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.491132 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 25 10:45:12.491169 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 25 was extracted from the queue of BSCVTY. 10:45:12.491205 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.491250 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "network" 10:45:12.491358 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.491706 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net)# " id 26 10:45:12.491798 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.491862 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.491945 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.491979 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net)# " id 26 10:45:12.492009 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 26 was extracted from the queue of BSCVTY. 10:45:12.492039 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.492071 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "bts 0" 10:45:12.492136 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.492537 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 27 10:45:12.492741 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.492829 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.492929 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.492966 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 27 10:45:12.493001 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 27 was extracted from the queue of BSCVTY. 10:45:12.493034 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.493075 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 10:45:12.493175 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.493614 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 28 10:45:12.493817 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.493906 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.494006 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.494041 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 28 10:45:12.494105 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 28 was extracted from the queue of BSCVTY. 10:45:12.494139 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.494177 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 10:45:12.494278 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.494677 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 29 10:45:12.494881 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.494976 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.495010 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 29 10:45:12.495044 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 29 was extracted from the queue of BSCVTY. 10:45:12.495077 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.495117 mtc Osmocom_VTY_Functions.ttcn:333 "TC_no_msc() start" 10:45:12.495185 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): TC_no_msc() start" 10:45:12.495282 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.495763 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 30 10:45:12.495970 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.496066 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.496101 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 30 10:45:12.496134 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 30 was extracted from the queue of BSCVTY. 10:45:12.496167 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.496210 mtc Osmocom_Types.ttcn:118 Start timer T: 1 s 10:45:13.496491 mtc Osmocom_Types.ttcn:119 Timeout T: 1 s 10:45:13.496792 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.845604. 10:45:13.496845 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.880391. 10:45:13.496873 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.912913. 10:45:13.496897 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.687256. 10:45:13.496919 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.985074. 10:45:13.496942 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.003867. 10:45:13.496965 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.948412. 10:45:13.496987 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.355327. 10:45:13.497009 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.004807. 10:45:13.497032 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.148841. 10:45:13.497056 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.256239. 10:45:13.497079 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.550042. 10:45:13.497101 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.260878. 10:45:13.497124 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.436688. 10:45:13.497146 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.739888. 10:45:13.497168 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.021848. 10:45:13.497191 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.006236. 10:45:13.497214 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.254447. 10:45:13.497236 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.374400. 10:45:13.497261 mtc BSC_Tests.ttcn:768 "TC_no_msc": using IMSI '001018896909301'H 10:45:13.497372 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.915750. 10:45:13.497436 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "915750259", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:13.497493 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:13.497689 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "915750259", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 4 10:45:13.497867 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:13.497912 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "915750259", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 4 10:45:13.497944 1827 IPA_Emulation.ttcnpp:879 Message with id 4 was extracted from the queue of IPA_CTRL_PORT. 10:45:13.497968 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "915750259", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:13.498009 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc" 10:45:13.498092 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542039313537353032353920726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:13.498131 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542039313537353032353920726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:13.498233 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0037EE004745542039313537353032353920726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O 10:45:13.498386 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0037EE004745542039313537353032353920726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O } 10:45:13.499032 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 4249, locName := "172.18.145.203", locPort := 38375, proto := { tcp := { } }, userData := 0, msg := '003FEE004745545F5245504C592039313537353032353920726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O } id 6 10:45:13.499144 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003FEE004745545F5245504C592039313537353032353920726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O 10:45:13.499218 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592039313537353032353920726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O ("GET_REPLY 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0") } 10:45:13.499326 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592039313537353032353920726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O ("GET_REPLY 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0") } id 6 10:45:13.499367 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:13.499410 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592039313537353032353920726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O ("GET_REPLY 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0") } id 6 10:45:13.499441 1827 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 10:45:13.499469 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0" 10:45:13.499510 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:13.499532 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:13.499553 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:13.499595 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:13.499615 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:13.499643 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:13.499663 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:13.499684 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:13.499704 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:13.499725 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:13.499759 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:13.499777 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:13.499805 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:13.499824 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:13.499844 1827 IPA_Emulation.ttcnpp:627 match_begin data: 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:13.499863 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:13.499883 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:13.499903 1827 IPA_Emulation.ttcnpp:627 match_first data: 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:13.499921 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:13.499941 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:13.499960 1827 IPA_Emulation.ttcnpp:627 match_list data: 915750259 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:13.499980 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:13.499999 1827 IPA_Emulation.ttcnpp:627 match_begin data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:13.500019 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:13.500038 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:13.500058 1827 IPA_Emulation.ttcnpp:627 match_first data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:13.500077 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:13.500096 1827 IPA_Emulation.ttcnpp:627 match_first result: 40 10:45:13.500115 1827 IPA_Emulation.ttcnpp:627 match_list data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:13.500134 1827 IPA_Emulation.ttcnpp:627 match_list result: 40 10:45:13.500153 1827 IPA_Emulation.ttcnpp:627 match_begin data: 0 10:45:13.500172 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:13.500191 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:13.500211 1827 IPA_Emulation.ttcnpp:627 match_first data: 0 10:45:13.500238 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:13.500257 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:13.500276 1827 IPA_Emulation.ttcnpp:627 match_list data: 0 10:45:13.500294 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:13.500314 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "915750259", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } 10:45:13.500360 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "915750259", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } 10:45:13.500557 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "915750259", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } id 7 10:45:13.500700 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:13.500747 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "915750259", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } id 7 10:45:13.500783 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 7 was extracted from the queue of IPA_CTRL. 10:45:13.500831 mtc Osmocom_CTRL_Functions.ttcn:247 retrieved rate counters: "bsc": { { { name := "mscpool:subscr:no_msc", val := 0 } } } 10:45:13.500903 mtc BSC_Tests.ttcn:870 initial bsc rate counters: { { { name := "mscpool:subscr:no_msc", val := 0 } } } 10:45:13.501097 mtc BSC_Tests.ttcn:4044 Creating new PTC with component type MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_no_msc. 10:45:13.508846 1831 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:13.508905 1831 - TTCN-3 Parallel Test Component started on 9a885065f0cf. Component reference: TC_no_msc(1831), component type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_no_msc. Version: 9.0.0. 10:45:13.508917 1831 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:13.508942 1831 - Connected to MC. 10:45:13.508974 1831 - Initializing variables, timers and ports of component type MSC_ConnectionHandler.MSC_ConnHdlr inside testcase TC_no_msc. 10:45:13.509345 mtc BSC_Tests.ttcn:4044 PTC was created. Component reference: 1831, alive: no, type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_no_msc. 10:45:13.509416 mtc BSC_Tests.ttcn:4011 Connecting ports TC_no_msc(1831):RAN and VirtMSC-RAN(1824):PROC. 10:45:13.509995 1824 RAN_Emulation.ttcnpp:1249 Port PROC is waiting for connection from TC_no_msc(1831):RAN on UNIX pathname /tmp/ttcn3-portconn-937bb125. 10:45:13.514620 1831 - Port BSSAP_LE was started. 10:45:13.514640 1831 - Port BSSAP_LE_PROC was started. 10:45:13.514644 1831 - Port STATSD_PROC was started. 10:45:13.514647 1831 - Port MGCP was started. 10:45:13.514650 1831 - Port MGCP_MULTI was started. 10:45:13.514653 1831 - Port MGCP_PROC was started. 10:45:13.514656 1831 - Port BSSAP was started. 10:45:13.514659 1831 - Port BSSAP_PROC was started. 10:45:13.514662 1831 - Port RSL was started. 10:45:13.514665 1831 - Port RSL_PROC was started. 10:45:13.514667 1831 - Port RSL1 was started. 10:45:13.514670 1831 - Port RSL1_PROC was started. 10:45:13.514673 1831 - Port RSL2 was started. 10:45:13.514676 1831 - Port RSL2_PROC was started. 10:45:13.514679 1831 - Port RAN was started. 10:45:13.514682 1831 - Port BSCVTY was started. 10:45:13.514685 1831 - Port COORD was started. 10:45:13.514688 1831 - Port COORD2 was started. 10:45:13.514690 1831 - Port MGCP_MSC_CLIENT was started. 10:45:13.514693 1831 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was initialized. 10:45:13.514715 1831 - Port RAN has established the connection with VirtMSC-RAN(1824):PROC using transport type UNIX. 10:45:13.514782 1824 RAN_Emulation.ttcnpp:1249 Port PROC has accepted the connection from TC_no_msc(1831):RAN. 10:45:13.514992 mtc BSC_Tests.ttcn:4011 Connect operation on TC_no_msc(1831):RAN and VirtMSC-RAN(1824):PROC finished. 10:45:13.515016 mtc BSC_Tests.ttcn:4012 Connecting ports TC_no_msc(1831):RSL and IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT. 10:45:13.515233 1830 RSL_Emulation.ttcn:496 Port CLIENT_PT is waiting for connection from TC_no_msc(1831):RSL on UNIX pathname /tmp/ttcn3-portconn-df30f92a. 10:45:13.515376 1831 - Port RSL has established the connection with IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT using transport type UNIX. 10:45:13.515448 1830 RSL_Emulation.ttcn:496 Port CLIENT_PT has accepted the connection from TC_no_msc(1831):RSL. 10:45:13.515631 mtc BSC_Tests.ttcn:4012 Connect operation on TC_no_msc(1831):RSL and IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT finished. 10:45:13.515688 mtc BSC_Tests.ttcn:4013 Connecting ports TC_no_msc(1831):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC. 10:45:13.516144 1830 RSL_Emulation.ttcn:496 Port RSL_PROC is waiting for connection from TC_no_msc(1831):RSL_PROC on UNIX pathname /tmp/ttcn3-portconn-8677af6d. 10:45:13.516383 1831 - Port RSL_PROC has established the connection with IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC using transport type UNIX. 10:45:13.516484 1830 RSL_Emulation.ttcn:496 Port RSL_PROC has accepted the connection from TC_no_msc(1831):RSL_PROC. 10:45:13.516692 mtc BSC_Tests.ttcn:4013 Connect operation on TC_no_msc(1831):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC finished. 10:45:13.516826 mtc BSC_Tests.ttcn:4022 Connecting ports TC_no_msc(1831):BSSAP and VirtMSC-RAN(1824):CLIENT. 10:45:13.517265 1824 RAN_Emulation.ttcnpp:1249 Port CLIENT is waiting for connection from TC_no_msc(1831):BSSAP on UNIX pathname /tmp/ttcn3-portconn-d56fe639. 10:45:13.517540 1831 - Port BSSAP has established the connection with VirtMSC-RAN(1824):CLIENT using transport type UNIX. 10:45:13.517751 1824 RAN_Emulation.ttcnpp:1249 Port CLIENT has accepted the connection from TC_no_msc(1831):BSSAP. 10:45:13.517954 mtc BSC_Tests.ttcn:4022 Connect operation on TC_no_msc(1831):BSSAP and VirtMSC-RAN(1824):CLIENT finished. 10:45:13.518076 mtc BSC_Tests.ttcn:4027 Connecting ports TC_no_msc(1831):STATSD_PROC and VirtMSC-STATS(1822):STATSD_PROC. 10:45:13.518429 1822 StatsD_Checker.ttcn:119 Port STATSD_PROC is waiting for connection from TC_no_msc(1831):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-d677af1d. 10:45:13.518747 1831 - Port STATSD_PROC has established the connection with VirtMSC-STATS(1822):STATSD_PROC using transport type UNIX. 10:45:13.518960 1822 StatsD_Checker.ttcn:119 Port STATSD_PROC has accepted the connection from TC_no_msc(1831):STATSD_PROC. 10:45:13.519102 mtc BSC_Tests.ttcn:4027 Connect operation on TC_no_msc(1831):STATSD_PROC and VirtMSC-STATS(1822):STATSD_PROC finished. 10:45:13.519228 mtc BSC_Tests.ttcn:4028 Connecting ports TC_no_msc(1831):MGCP_PROC and VirtMGW-MGCP-0(1828):MGCP_PROC. 10:45:13.519634 1828 MGCP_Emulation.ttcn:290 Port MGCP_PROC is waiting for connection from TC_no_msc(1831):MGCP_PROC on UNIX pathname /tmp/ttcn3-portconn-d073ab3f. 10:45:13.519845 1831 - Port MGCP_PROC has established the connection with VirtMGW-MGCP-0(1828):MGCP_PROC using transport type UNIX. 10:45:13.520069 1828 MGCP_Emulation.ttcn:290 Port MGCP_PROC has accepted the connection from TC_no_msc(1831):MGCP_PROC. 10:45:13.520197 mtc BSC_Tests.ttcn:4028 Connect operation on TC_no_msc(1831):MGCP_PROC and VirtMGW-MGCP-0(1828):MGCP_PROC finished. 10:45:13.520320 mtc BSC_Tests.ttcn:4029 Connecting ports TC_no_msc(1831):MGCP and VirtMGW-MGCP-0(1828):MGCP_CLIENT. 10:45:13.520726 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT is waiting for connection from TC_no_msc(1831):MGCP on UNIX pathname /tmp/ttcn3-portconn-ca69a476. 10:45:13.520934 1831 - Port MGCP has established the connection with VirtMGW-MGCP-0(1828):MGCP_CLIENT using transport type UNIX. 10:45:13.521147 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT has accepted the connection from TC_no_msc(1831):MGCP. 10:45:13.521288 mtc BSC_Tests.ttcn:4029 Connect operation on TC_no_msc(1831):MGCP and VirtMGW-MGCP-0(1828):MGCP_CLIENT finished. 10:45:13.521411 mtc BSC_Tests.ttcn:4030 Connecting ports TC_no_msc(1831):MGCP_MULTI and VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI. 10:45:13.521815 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI is waiting for connection from TC_no_msc(1831):MGCP_MULTI on UNIX pathname /tmp/ttcn3-portconn-ca21a43e. 10:45:13.522050 1831 - Port MGCP_MULTI has established the connection with VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI using transport type UNIX. 10:45:13.522124 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI has accepted the connection from TC_no_msc(1831):MGCP_MULTI. 10:45:13.522255 mtc BSC_Tests.ttcn:4030 Connect operation on TC_no_msc(1831):MGCP_MULTI and VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI finished. 10:45:13.522479 mtc BSC_Tests.ttcn:4052 Starting function f_handler_init(refers(BSC_Tests.f_tc_no_msc), "TC_no_msc", { ra := '23'O ("#"), fn := 23, imsi := '001018896909301'H, imei := '00101252470023'H, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, media_nr := 1, ass_codec_list := omit, expect_mr_conf_ie := omit, expect_mr_s0_s7 := omit, encr := omit, lcls := { gcr := omit, cfg := omit, csc := omit, exp_sts := omit, adjust_cx_exp := true }, sccp_addr_msc := omit, sccp_addr_bsc := omit, exp_ms_power_level := 7, exp_ms_power_params := false, aoip := false, use_osmux_cn := false, use_osmux_bts := false, host_aoip_tla := "1.2.3.4", mscpool := { bssap_idx := 0, rsl_idx := 0, l3_info := omit }, mgwpool_idx := 0, media_mgw_offer_ipv6 := true, last_used_eutran_plmn := omit, exp_fast_return := false, expect_channel_mode_modify := false, expect_tsc := 2, cell_id_source := { elementIdentifier := '05'O, lengthIndicator := 0, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_LAC_CI := { lac := '0001'O, ci := '0001'O } } }, expect_ho_fail := false, expect_ho_fail_lchan_est := false, inter_bsc_ho_in__ho_req_in_initial_sccp_cr := true, ignore_mgw_mdcx := false, fail_on_dlcx := true, ignore_ipa_media := false, asci_test := { vgcs_setup_ok := false, vgcs_assign_ok := false, vgcs_assign_fail := false, vgcs_talker_req := false, vgcs_talker_fail := false, vgcs_talker_est := false, vgcs_talker_rel := false, vgcs_uplink_reject := false, vgcs_uplink_seized := false, vgcs_uplink_release := false, delay_bts := false, delay_msc := false } }) on component TC_no_msc(1831). 10:45:13.522932 mtc BSC_Tests.ttcn:4052 Function was started. 10:45:13.524355 1831 - Starting function f_handler_init(refers(BSC_Tests.f_tc_no_msc), "TC_no_msc", { ra := '23'O ("#"), fn := 23, imsi := '001018896909301'H, imei := '00101252470023'H, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, media_nr := 1, ass_codec_list := omit, expect_mr_conf_ie := omit, expect_mr_s0_s7 := omit, encr := omit, lcls := { gcr := omit, cfg := omit, csc := omit, exp_sts := omit, adjust_cx_exp := true }, sccp_addr_msc := omit, sccp_addr_bsc := omit, exp_ms_power_level := 7, exp_ms_power_params := false, aoip := false, use_osmux_cn := false, use_osmux_bts := false, host_aoip_tla := "1.2.3.4", mscpool := { bssap_idx := 0, rsl_idx := 0, l3_info := omit }, mgwpool_idx := 0, media_mgw_offer_ipv6 := true, last_used_eutran_plmn := omit, exp_fast_return := false, expect_channel_mode_modify := false, expect_tsc := 2, cell_id_source := { elementIdentifier := '05'O, lengthIndicator := 0, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_LAC_CI := { lac := '0001'O, ci := '0001'O } } }, expect_ho_fail := false, expect_ho_fail_lchan_est := false, inter_bsc_ho_in__ho_req_in_initial_sccp_cr := true, ignore_mgw_mdcx := false, fail_on_dlcx := true, ignore_ipa_media := false, asci_test := { vgcs_setup_ok := false, vgcs_assign_ok := false, vgcs_assign_fail := false, vgcs_talker_req := false, vgcs_talker_fail := false, vgcs_talker_est := false, vgcs_talker_rel := false, vgcs_uplink_reject := false, vgcs_uplink_seized := false, vgcs_uplink_release := false, delay_bts := false, delay_msc := false } }). 10:45:13.527341 1831 MGCP_Templates.ttcn:396 Random number generator was initialized with seed 3906.527332: srand48(1915458530). 10:45:13.527421 1831 MGCP_Templates.ttcn:396 Function rnd() returned 0.248022. 10:45:13.527598 1831 MGCP_Templates.ttcn:396 Function rnd() returned 0.642091. 10:45:13.527767 1831 MSC_ConnectionHandler.ttcn:576 Mapping port TC_no_msc(1831):BSCVTY to system:BSCVTY. 10:45:13.529593 1831 MSC_ConnectionHandler.ttcn:576 Message enqueued on BSCVTY from system integer : 2 id 1 10:45:13.529865 1831 MSC_ConnectionHandler.ttcn:576 Port BSCVTY was mapped to system:BSCVTY. 10:45:13.530167 1831 MSC_ConnectionHandler.ttcn:576 Map operation of TC_no_msc(1831):BSCVTY to system:BSCVTY finished. 10:45:13.530746 1831 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 10:45:13.530954 1831 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 10:45:13.531007 1831 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 10:45:13.531405 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 10:45:13.531630 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:13.531770 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:13.531796 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:13.531814 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:13.531831 1831 Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:13.531879 1831 Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:13.532015 1831 Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 10:45:13.532072 1831 Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 10:45:13.532109 1831 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 10:45:13.532313 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 10:45:13.532490 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.532562 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:13.532583 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 10:45:13.532603 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 10:45:13.532646 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:13.532769 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show running-config" 10:45:13.532817 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:13.535994 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 3 10:45:13.536199 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 4 10:45:13.537532 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.538732 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:13.539786 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:13.540874 1831 Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:13.541650 1831 Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with ? matched 10:45:13.542364 1831 Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.145.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.145.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.145.20\n remote-ip 172.18.145.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.145.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 3 10:45:13.542417 1831 Osmocom_VTY_Functions.ttcn:85 Message with id 3 was extracted from the queue of BSCVTY. 10:45:13.542434 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.542448 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:13.542454 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 4 10:45:13.542460 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of BSCVTY. 10:45:13.542466 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:13.542575 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:13.542594 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:13.542764 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 5 10:45:13.542809 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.542827 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:13.542847 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:13.542854 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 5 10:45:13.542866 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of BSCVTY. 10:45:13.542873 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:13.542893 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 10:45:13.542915 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:13.543046 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 6 10:45:13.543087 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.543105 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:13.543126 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:13.543133 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 6 10:45:13.543140 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of BSCVTY. 10:45:13.543147 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:13.543181 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "no allow-attach" 10:45:13.543203 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:13.543358 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 7 10:45:13.543398 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.543416 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:13.543436 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:13.543442 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 7 10:45:13.543449 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 7 was extracted from the queue of BSCVTY. 10:45:13.543455 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:13.543463 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:13.543483 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:13.543625 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 8 10:45:13.543667 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.543685 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:13.543703 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:13.543710 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 8 10:45:13.543716 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of BSCVTY. 10:45:13.543722 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:13.543730 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:13.543749 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:13.543823 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 9 10:45:13.543836 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.543854 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:13.543860 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 9 10:45:13.543865 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 9 was extracted from the queue of BSCVTY. 10:45:13.543871 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:13.544582 1831 BSC_Tests.ttcn:10928 enc_PDU_ML3_MS_NW(): Encoding @MobileL3_Types.PDU_ML3_MS_NW: { discriminator := '0000'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { mm := { locationUpdateRequest := { messageType := '000000'B, nsd := '00'B, locationUpdatingType := { lut := '10'B, spare1_1 := '0'B, fop := '0'B }, cipheringKeySequenceNumber := { keySequence := '000'B, spare := '0'B }, locationAreaIdentification := { mcc_mnc := '00F110'O, lac := '172A'O }, mobileStationClassmark1 := { rf_PowerCapability := '010'B, a5_1 := '0'B, esind := '1'B, revisionLevel := '10'B, spare1_1 := '0'B }, mobileIdentityLV := { lengthIndicator := 0, mobileIdentityV := { typeOfIdentity := '001'B, oddEvenInd_identity := { imsi := { oddevenIndicator := '1'B, digits := '001010000100001'H, fillerDigit := omit } } } }, classmarkInformationType2_forUMTS := omit, additionalUpdateParameterTV := omit, deviceProperties := omit, mS_NetworkFeatureSupport := omit } } } } 10:45:13.544886 1831 BSC_Tests.ttcn:10928 enc_PDU_ML3_MS_NW(): Stream after encoding: '05080200F110172A52080910100000010010'O 10:45:13.545146 1831 RSL_Emulation.ttcn:159 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } 10:45:13.545288 1830 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_no_msc(1831) @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } id 1 10:45:13.545383 1830 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT succeeded: matched 10:45:13.545396 1830 RSL_Emulation.ttcn:628 Receive operation on port CLIENT_PT succeeded, message from TC_no_msc(1831): @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } id 1 10:45:13.545409 1830 RSL_Emulation.ttcn:628 Message with id 1 was extracted from the queue of CLIENT_PT. 10:45:13.545448 1831 RSL_Emulation.ttcn:120 Start timer T: 10 s 10:45:13.545616 1830 RSL_Emulation.ttcn:631 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } 10:45:13.545762 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 2 10:45:13.545802 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:13.545811 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 2 10:45:13.545819 1829 IPA_Emulation.ttcnpp:913 Message with id 2 was extracted from the queue of IPA_RSL_PORT. 10:45:13.545823 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } 10:45:13.545865 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C130188132302F71100'O 10:45:13.545877 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C130188132302F71100'O } 10:45:13.545884 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C130188132302F71100'O } 10:45:13.545892 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000A000C130188132302F71100'O 10:45:13.545899 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000A000C130188132302F71100'O } 10:45:13.546214 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001C00082101200300060403030100050664204367720004000D0718001F00'O } id 16 10:45:13.546234 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001C00082101200300060403030100050664204367720004000D0718001F00'O 10:45:13.546244 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 28, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082101200300060403030100050664204367720004000D0718001F00'O } 10:45:13.546258 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101200300060403030100050664204367720004000D0718001F00'O } id 16 10:45:13.546267 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:13.546274 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:13.546279 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101200300060403030100050664204367720004000D0718001F00'O } id 16 10:45:13.546284 1829 IPA_Emulation.ttcnpp:753 Message with id 16 was extracted from the queue of IPA_PORT. 10:45:13.546289 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082101200300060403030100050664204367720004000D0718001F00'O 10:45:13.546544 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } 10:45:13.547094 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 10:45:13.547391 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 10:45:13.547424 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.547431 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.547435 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.547466 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_CHAN_ACTIV (33) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:13.547491 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_CHAN_ACTIV (33) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:13.547501 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:13.547509 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:13.547519 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT succeeded: matched 10:45:13.547531 1830 RSL_Emulation.ttcn:594 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 10:45:13.547539 1830 RSL_Emulation.ttcn:594 Message with id 16 was extracted from the queue of IPA_PT. 10:45:13.547638 1830 RSL_Emulation.ttcn:600 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } 10:45:13.547750 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } id 3 10:45:13.547789 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:13.547798 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } id 3 10:45:13.547805 1829 IPA_Emulation.ttcnpp:913 Message with id 3 was extracted from the queue of IPA_RSL_PORT. 10:45:13.547810 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } 10:45:13.547844 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '082201200802F7'O 10:45:13.547854 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082201200802F7'O } 10:45:13.547861 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082201200802F7'O } 10:45:13.547868 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000700082201200802F7'O 10:45:13.547874 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000700082201200802F7'O } 10:45:13.548071 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001D000C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 10:45:13.548096 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001D000C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 10:45:13.548108 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 29, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } 10:45:13.548118 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 10:45:13.548129 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:13.548137 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:13.548143 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 10:45:13.548149 1829 IPA_Emulation.ttcnpp:753 Message with id 17 was extracted from the queue of IPA_PORT. 10:45:13.548154 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 10:45:13.548187 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } 10:45:13.548232 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } 10:45:13.548321 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 10:45:13.548351 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.548358 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.548362 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.548392 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT succeeded: matched 10:45:13.548402 1830 RSL_Emulation.ttcn:526 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 10:45:13.548415 1830 RSL_Emulation.ttcn:526 Message with id 17 was extracted from the queue of IPA_PT. 10:45:13.548480 1830 RSL_Emulation.ttcn:530 dec_GsmRrMessage(): Stream before decoding: '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 10:45:13.548867 1830 RSL_Emulation.ttcn:530 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_SAME_AS_BEFORE (3), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00100011'B, t1p := 0, t3 := 23, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } 10:45:13.549124 1830 RSL_Emulation.ttcn:547 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } 10:45:13.549401 1831 RSL_Emulation.ttcn:121 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } id 1 10:45:13.549605 1831 RSL_Emulation.ttcn:122 Matching on port RSL succeeded: matched 10:45:13.549624 1831 RSL_Emulation.ttcn:122 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } id 1 10:45:13.549635 1831 RSL_Emulation.ttcn:122 Message with id 1 was extracted from the queue of RSL. 10:45:13.549647 1831 RSL_Emulation.ttcn:123 Stop timer T: 10 s 10:45:13.549723 1831 RSL_Emulation.ttcn:163 dec_GsmRrMessage(): Stream before decoding: '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O 10:45:13.550111 1831 RSL_Emulation.ttcn:163 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_SAME_AS_BEFORE (3), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00100011'B, t1p := 0, t3 := 23, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '1'B }, lh := omit, hl := omit, hh := omit } } } } 10:45:13.550599 1831 RSL_Emulation.ttcn:170 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } 10:45:13.550751 1831 RSL_Emulation.ttcn:809 Called on RSL_PROC to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } 10:45:13.550798 1830 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } id 2 10:45:13.550864 1830 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 10:45:13.550887 1830 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 10:45:13.550897 1830 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_no_msc(1831): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } id 2 10:45:13.550905 1830 RSL_Emulation.ttcn:640 Message with id 2 was extracted from the queue of CLIENT_PT. 10:45:13.551025 1830 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } } 10:45:13.551100 1830 RSL_Emulation.ttcn:496 Call enqueued on RSL_PROC from TC_no_msc(1831) @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } id 1 10:45:13.551125 1830 RSL_Emulation.ttcn:670 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_register. 10:45:13.551130 1830 RSL_Emulation.ttcn:675 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_unregister. 10:45:13.551133 1830 RSL_Emulation.ttcn:681 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_suspend. 10:45:13.551137 1830 RSL_Emulation.ttcn:687 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_suspend. 10:45:13.551141 1830 RSL_Emulation.ttcn:693 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_wait_queue. 10:45:13.551144 1830 RSL_Emulation.ttcn:708 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_wait_queue. 10:45:13.551148 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } } id 4 10:45:13.551159 1830 RSL_Emulation.ttcn:713 Matching on port RSL_PROC succeeded: { trx_nr := 0 with ? matched, chan_nr := matched } 10:45:13.551184 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:13.551190 1830 RSL_Emulation.ttcn:713 Getcall operation on port RSL_PROC succeeded, call from TC_no_msc(1831): @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } id 1 10:45:13.551195 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } } id 4 10:45:13.551198 1830 RSL_Emulation.ttcn:713 Operation with id 1 was extracted from the queue of RSL_PROC. 10:45:13.551202 1829 IPA_Emulation.ttcnpp:913 Message with id 4 was extracted from the queue of IPA_RSL_PORT. 10:45:13.551208 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } 10:45:13.551250 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0206012002000B001205080200F110172A52080910100000010010'O 10:45:13.551263 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0206012002000B001205080200F110172A52080910100000010010'O } 10:45:13.551269 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0206012002000B001205080200F110172A52080910100000010010'O } 10:45:13.551279 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '001B000206012002000B001205080200F110172A52080910100000010010'O 10:45:13.551291 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '001B000206012002000B001205080200F110172A52080910100000010010'O } 10:45:13.551528 1830 RSL_Emulation.ttcn:715 Replied on RSL_PROC to TC_no_msc(1831) @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 10:45:13.551646 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000C000301012002000B0003060D20'O } id 18 10:45:13.551669 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000C000301012002000B0003060D20'O 10:45:13.551680 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 12, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0301012002000B0003060D20'O } 10:45:13.551689 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301012002000B0003060D20'O } id 18 10:45:13.551697 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '00040008250120'O } id 19 10:45:13.551702 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '00040008250120'O 10:45:13.551708 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08250120'O } 10:45:13.551714 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250120'O } id 19 10:45:13.551720 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 3003, locName := "172.18.145.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400082E0120'O } id 20 10:45:13.551725 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400082E0120'O 10:45:13.551730 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082E0120'O } 10:45:13.551735 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0120'O } id 20 10:45:13.551744 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:13.551751 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:13.551757 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301012002000B0003060D20'O } id 18 10:45:13.551763 1829 IPA_Emulation.ttcnpp:753 Message with id 18 was extracted from the queue of IPA_PORT. 10:45:13.551768 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0301012002000B0003060D20'O 10:45:13.551797 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } 10:45:13.551826 1831 RSL_Emulation.ttcn:809 Reply enqueued on RSL_PROC from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 1 10:45:13.551861 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } } 10:45:13.551862 1831 RSL_Emulation.ttcn:810 Matching on port RSL_PROC succeeded: { chan_act := matched } 10:45:13.551891 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:13.551898 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:13.551901 1831 RSL_Emulation.ttcn:810 Getreply operation on port RSL_PROC succeeded, reply from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 1 10:45:13.551904 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250120'O } id 19 10:45:13.551909 1829 IPA_Emulation.ttcnpp:753 Message with id 19 was extracted from the queue of IPA_PORT. 10:45:13.551913 1831 RSL_Emulation.ttcn:810 Operation with id 1 was extracted from the queue of RSL_PROC. 10:45:13.551914 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '08250120'O 10:45:13.551921 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:13.551936 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 10:45:13.551944 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:13.551949 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:13.551954 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0120'O } id 20 10:45:13.551957 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } } id 18 10:45:13.551959 1829 IPA_Emulation.ttcnpp:753 Message with id 20 was extracted from the queue of IPA_PORT. 10:45:13.551963 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082E0120'O 10:45:13.551969 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:13.551980 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 10:45:13.551986 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.551993 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.551997 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.552035 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched.rsl.msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:13.552069 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched.rsl.msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:13.552079 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:13.552085 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:13.552092 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 10:45:13.552100 1830 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 10:45:13.552107 1830 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } } id 18 10:45:13.552115 1830 RSL_Emulation.ttcn:606 Message with id 18 was extracted from the queue of IPA_PT. 10:45:13.552137 1830 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } 10:45:13.552171 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 19 10:45:13.552183 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 20 10:45:13.552189 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.552194 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.552198 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.552208 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:13.552209 1831 BSC_Tests.ttcn:10932 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } id 2 10:45:13.552224 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:13.552233 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:13.552239 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:13.552245 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 10:45:13.552252 1830 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 10:45:13.552258 1830 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 19 10:45:13.552263 1830 RSL_Emulation.ttcn:606 Message with id 19 was extracted from the queue of IPA_PT. 10:45:13.552270 1830 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:13.552277 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.552281 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.552285 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:13.552295 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:13.552310 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:13.552318 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:13.552324 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:13.552330 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MT_RF_CHAN_REL (46) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 10:45:13.552336 1830 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 10:45:13.552342 1830 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 20 10:45:13.552347 1830 RSL_Emulation.ttcn:606 Message with id 20 was extracted from the queue of IPA_PT. 10:45:13.552353 1830 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:13.553024 1831 BSC_Tests.ttcn:10933 Matching on port RSL succeeded: matched 10:45:13.553043 1831 BSC_Tests.ttcn:10933 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } id 2 10:45:13.553054 1831 BSC_Tests.ttcn:10933 Message with id 2 was extracted from the queue of RSL. 10:45:13.553074 1831 Osmocom_VTY_Functions.ttcn:333 "Got RSL RR Release" 10:45:13.553110 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL RR Release" 10:45:13.553139 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:13.553157 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:13.553168 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 4 10:45:13.553249 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 10 10:45:13.553279 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.553302 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:13.553309 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 10 10:45:13.553316 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 10 was extracted from the queue of BSCVTY. 10:45:13.553325 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:13.553341 1831 BSC_Tests.ttcn:10936 Matching on port RSL succeeded: matched 10:45:13.553348 1831 BSC_Tests.ttcn:10936 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:13.553355 1831 BSC_Tests.ttcn:10936 Message with id 3 was extracted from the queue of RSL. 10:45:13.553363 1831 Osmocom_VTY_Functions.ttcn:333 "Got RSL Deact SACCH" 10:45:13.553373 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL Deact SACCH" 10:45:13.553391 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:13.553443 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 11 10:45:13.553460 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.553478 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:13.553489 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 11 10:45:13.553496 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 11 was extracted from the queue of BSCVTY. 10:45:13.553504 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:13.553515 1831 BSC_Tests.ttcn:10939 Matching on port RSL succeeded: matched 10:45:13.553523 1831 BSC_Tests.ttcn:10939 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 4 10:45:13.553530 1831 BSC_Tests.ttcn:10939 Message with id 4 was extracted from the queue of RSL. 10:45:13.553536 1831 Osmocom_VTY_Functions.ttcn:333 "Got RSL RF Chan Rel, sending Rel Ack" 10:45:13.553546 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL RF Chan Rel, sending Rel Ack" 10:45:13.553559 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:13.553702 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 12 10:45:13.553717 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.553733 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:13.553740 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 12 10:45:13.553746 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 12 was extracted from the queue of BSCVTY. 10:45:13.553754 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:13.553843 1831 BSC_Tests.ttcn:10941 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:13.553886 1830 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:13.553912 1831 RSL_Emulation.ttcn:766 Called on RSL_PROC to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_no_msc(1831) } 10:45:13.553948 1830 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 10:45:13.553959 1830 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 10:45:13.553968 1830 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_no_msc(1831): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:13.553976 1830 RSL_Emulation.ttcn:640 Message with id 3 was extracted from the queue of CLIENT_PT. 10:45:13.553996 1830 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 10:45:13.554063 1830 RSL_Emulation.ttcn:496 Call enqueued on RSL_PROC from TC_no_msc(1831) @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_no_msc(1831) } id 2 10:45:13.554089 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 5 10:45:13.554095 1830 RSL_Emulation.ttcn:670 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_register. 10:45:13.554114 1830 RSL_Emulation.ttcn:675 Matching on port RSL_PROC succeeded: { trx_nr := 0 with ? matched, chan_nr := matched, hdlr := TC_no_msc(1831) with ? matched } 10:45:13.554132 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:13.554132 1830 RSL_Emulation.ttcn:675 Getcall operation on port RSL_PROC succeeded, call from TC_no_msc(1831): @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_no_msc(1831) } id 1 10:45:13.554140 1830 RSL_Emulation.ttcn:675 Operation with id 2 was extracted from the queue of RSL_PROC. 10:45:13.554144 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 5 10:45:13.554158 1829 IPA_Emulation.ttcnpp:913 Message with id 5 was extracted from the queue of IPA_RSL_PORT. 10:45:13.554165 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:13.554183 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '08330120'O 10:45:13.554183 1830 RSL_Emulation.ttcn:678 Replied on RSL_PROC to TC_no_msc(1831) @RSL_Emulation.RSLEM_unregister : { } 10:45:13.554195 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08330120'O } 10:45:13.554204 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08330120'O } 10:45:13.554204 1831 RSL_Emulation.ttcn:766 Reply enqueued on RSL_PROC from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_unregister : { } id 2 10:45:13.554214 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '00040008330120'O 10:45:13.554215 1831 RSL_Emulation.ttcn:767 Matching on port RSL_PROC succeeded: { } with { } matched 10:45:13.554222 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '00040008330120'O } 10:45:13.554222 1831 RSL_Emulation.ttcn:767 Getreply operation on port RSL_PROC succeeded, reply from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Emulation.RSLEM_unregister : { } id 1 10:45:13.554230 1831 RSL_Emulation.ttcn:767 Operation with id 2 was extracted from the queue of RSL_PROC. 10:45:13.554246 1831 BSC_Tests.ttcn:10945 setverdict(pass): none -> pass 10:45:13.554269 1831 - Function f_handler_init finished. PTC terminates. 10:45:13.554276 1831 - Terminating component type MSC_ConnectionHandler.MSC_ConnHdlr. 10:45:13.554282 1831 - Port BSSAP_LE was stopped. 10:45:13.554291 1831 - Port BSSAP_LE_PROC was stopped. 10:45:13.554296 1831 - Removing unterminated connection between port STATSD_PROC and VirtMSC-STATS(1822):STATSD_PROC. 10:45:13.554319 1831 - Port STATSD_PROC was stopped. 10:45:13.554322 1822 StatsD_Checker.ttcn:119 Connection of port STATSD_PROC to TC_no_msc(1831):STATSD_PROC was closed unexpectedly by the peer. 10:45:13.554323 1831 - Removing unterminated connection between port MGCP and VirtMGW-MGCP-0(1828):MGCP_CLIENT. 10:45:13.554333 1831 - Port MGCP was stopped. 10:45:13.554335 1822 StatsD_Checker.ttcn:119 Port STATSD_PROC was disconnected from TC_no_msc(1831):STATSD_PROC. 10:45:13.554338 1831 - Removing unterminated connection between port MGCP_MULTI and VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI. 10:45:13.554346 1831 - Port MGCP_MULTI was stopped. 10:45:13.554350 1831 - Removing unterminated connection between port MGCP_PROC and VirtMGW-MGCP-0(1828):MGCP_PROC. 10:45:13.554361 1831 - Port MGCP_PROC was stopped. 10:45:13.554365 1831 - Removing unterminated connection between port BSSAP and VirtMSC-RAN(1824):CLIENT. 10:45:13.554381 1831 - Port BSSAP was stopped. 10:45:13.554388 1831 - Port BSSAP_PROC was stopped. 10:45:13.554392 1831 - Removing unterminated connection between port RSL and IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT. 10:45:13.554401 1831 - Port RSL was stopped. 10:45:13.554405 1830 RSL_Emulation.ttcn:496 Connection of port CLIENT_PT to TC_no_msc(1831):RSL was closed unexpectedly by the peer. 10:45:13.554405 1831 - Removing unterminated connection between port RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC. 10:45:13.554412 1830 RSL_Emulation.ttcn:496 Port CLIENT_PT was disconnected from TC_no_msc(1831):RSL. 10:45:13.554413 1831 - Port RSL_PROC was stopped. 10:45:13.554418 1831 - Port RSL1 was stopped. 10:45:13.554421 1828 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT to TC_no_msc(1831):MGCP was closed unexpectedly by the peer. 10:45:13.554422 1831 - Port RSL1_PROC was stopped. 10:45:13.554426 1831 - Port RSL2 was stopped. 10:45:13.554430 1831 - Port RSL2_PROC was stopped. 10:45:13.554435 1831 - Removing unterminated connection between port RAN and VirtMSC-RAN(1824):PROC. 10:45:13.554441 1830 RSL_Emulation.ttcn:496 Connection of port RSL_PROC to TC_no_msc(1831):RSL_PROC was closed unexpectedly by the peer. 10:45:13.554443 1831 - Port RAN was stopped. 10:45:13.554447 1824 RAN_Emulation.ttcnpp:1249 Connection of port CLIENT to TC_no_msc(1831):BSSAP was closed unexpectedly by the peer. 10:45:13.554447 1830 RSL_Emulation.ttcn:496 Port RSL_PROC was disconnected from TC_no_msc(1831):RSL_PROC. 10:45:13.554448 1831 - Removing unterminated mapping between port BSCVTY and system:BSCVTY. 10:45:13.554450 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT was disconnected from TC_no_msc(1831):MGCP. 10:45:13.554476 1828 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT_MULTI to TC_no_msc(1831):MGCP_MULTI was closed unexpectedly by the peer. 10:45:13.554483 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI was disconnected from TC_no_msc(1831):MGCP_MULTI. 10:45:13.554490 1831 - Port BSCVTY was unmapped from system:BSCVTY. 10:45:13.554493 1824 RAN_Emulation.ttcnpp:1249 Port CLIENT was disconnected from TC_no_msc(1831):BSSAP. 10:45:13.554497 1828 MGCP_Emulation.ttcn:290 Connection of port MGCP_PROC to TC_no_msc(1831):MGCP_PROC was closed unexpectedly by the peer. 10:45:13.554499 1831 - Port BSCVTY was stopped. 10:45:13.554507 1828 MGCP_Emulation.ttcn:290 Port MGCP_PROC was disconnected from TC_no_msc(1831):MGCP_PROC. 10:45:13.554507 1831 - Port COORD was stopped. 10:45:13.554512 1831 - Port COORD2 was stopped. 10:45:13.554520 1831 - Port MGCP_MSC_CLIENT was stopped. 10:45:13.554526 1831 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was shut down inside testcase TC_no_msc. 10:45:13.554540 1831 - Final verdict of PTC: pass 10:45:13.554614 1824 RAN_Emulation.ttcnpp:1249 Connection of port PROC to TC_no_msc(1831):RAN was closed unexpectedly by the peer. 10:45:13.554615 mtc BSC_Tests.ttcn:10957 PTC with component reference 1831 is done. 10:45:13.554649 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.798019. 10:45:13.554659 1824 RAN_Emulation.ttcnpp:1249 Port PROC was disconnected from TC_no_msc(1831):RAN. 10:45:13.554670 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "798019058", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:13.554684 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:13.554724 1831 - Disconnected from MC. 10:45:13.554737 1831 - TTCN-3 Parallel Test Component finished. 10:45:13.554753 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "798019058", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 5 10:45:13.554795 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:13.554806 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "798019058", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 5 10:45:13.554815 1827 IPA_Emulation.ttcnpp:879 Message with id 5 was extracted from the queue of IPA_CTRL_PORT. 10:45:13.554821 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "798019058", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:13.554832 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc" 10:45:13.554852 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542037393830313930353820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:13.554861 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542037393830313930353820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:13.554882 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0037EE004745542037393830313930353820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O 10:45:13.554899 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0037EE004745542037393830313930353820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O } 10:45:13.554987 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.145.20", remPort := 4249, locName := "172.18.145.203", locPort := 38375, proto := { tcp := { } }, userData := 0, msg := '003FEE004745545F5245504C592037393830313930353820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O } id 7 10:45:13.554997 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003FEE004745545F5245504C592037393830313930353820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O 10:45:13.555012 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592037393830313930353820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O ("GET_REPLY 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1") } 10:45:13.555031 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592037393830313930353820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O ("GET_REPLY 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1") } id 7 10:45:13.555045 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:13.555056 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592037393830313930353820726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O ("GET_REPLY 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1") } id 7 10:45:13.555063 1827 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 10:45:13.555070 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1" 10:45:13.555080 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:13.555085 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:13.555091 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:13.555102 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:13.555107 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:13.555115 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:13.555120 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:13.555125 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:13.555130 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:13.555136 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:13.555144 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:13.555149 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:13.555157 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:13.555162 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:13.555167 1827 IPA_Emulation.ttcnpp:627 match_begin data: 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:13.555172 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:13.555177 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:13.555183 1827 IPA_Emulation.ttcnpp:627 match_first data: 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:13.555188 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:13.555193 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:13.555198 1827 IPA_Emulation.ttcnpp:627 match_list data: 798019058 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:13.555203 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:13.555208 1827 IPA_Emulation.ttcnpp:627 match_begin data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:13.555213 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:13.555219 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:13.555224 1827 IPA_Emulation.ttcnpp:627 match_first data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:13.555229 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:13.555234 1827 IPA_Emulation.ttcnpp:627 match_first result: 40 10:45:13.555239 1827 IPA_Emulation.ttcnpp:627 match_list data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:13.555244 1827 IPA_Emulation.ttcnpp:627 match_list result: 40 10:45:13.555249 1827 IPA_Emulation.ttcnpp:627 match_begin data: 1 10:45:13.555253 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:13.555258 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:13.555263 1827 IPA_Emulation.ttcnpp:627 match_first data: 1 10:45:13.555268 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:13.555273 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:13.555278 1827 IPA_Emulation.ttcnpp:627 match_list data: 1 10:45:13.555283 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:13.555288 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "798019058", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } 10:45:13.555302 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "798019058", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } 10:45:13.555323 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "798019058", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } id 8 10:45:13.555339 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:13.555350 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "798019058", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } id 8 10:45:13.555358 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 8 was extracted from the queue of IPA_CTRL. 10:45:13.555371 mtc Osmocom_CTRL_Functions.ttcn:312 setverdict(pass): none -> pass 10:45:13.555396 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show talloc-context application full filter \\\\|\\" 10:45:13.555413 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:13.555722 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" id 31 10:45:13.555731 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 32 10:45:13.555761 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.555784 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:13.555804 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:13.555817 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:13.555826 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with ? matched 10:45:13.555835 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" id 31 10:45:13.555842 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 31 was extracted from the queue of BSCVTY. 10:45:13.555864 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:13.555881 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:13.555888 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 32 10:45:13.555896 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 32 was extracted from the queue of BSCVTY. 10:45:13.555903 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:13.555911 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct bsc_subscr" x 0, expecting 0 10:45:13.555924 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct gsm_subscriber_connection" x 0, expecting 0 10:45:13.555936 mtc BSC_Tests.ttcn:918 Stopping all components. 10:45:13.555956 1822 StatsD_Checker.ttcn:119 Kill was requested from MC. 10:45:13.555964 1822 StatsD_Checker.ttcn:119 Terminating test component execution. 10:45:13.555965 1823 SCCP_Emulation.ttcn:5513 Kill was requested from MC. 10:45:13.555974 1825 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 10:45:13.555975 1824 RAN_Emulation.ttcnpp:1249 Kill was requested from MC. 10:45:13.555977 1823 SCCP_Emulation.ttcn:5513 Terminating test component execution. 10:45:13.555979 1827 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 10:45:13.555989 1829 IPA_Emulation.ttcnpp:735 Stop was requested from MC. 10:45:13.556005 1824 RAN_Emulation.ttcnpp:1249 Terminating test component execution. 10:45:13.556010 1825 IPA_Emulation.ttcnpp:735 Terminating test component execution. 10:45:13.556014 1827 IPA_Emulation.ttcnpp:735 Terminating test component execution. 10:45:13.556031 1828 MGCP_Emulation.ttcn:290 Stop was requested from MC. 10:45:13.556032 1830 RSL_Emulation.ttcn:496 Stop was requested from MC. 10:45:13.556036 1829 IPA_Emulation.ttcnpp:735 Stopping test component execution. 10:45:13.556048 1828 MGCP_Emulation.ttcn:290 Stopping test component execution. 10:45:13.556080 1830 RSL_Emulation.ttcn:496 Stopping test component execution. 10:45:13.556241 1822 - Function main was stopped. PTC terminates. 10:45:13.556265 1822 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 10:45:13.556272 1822 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 10:45:13.556281 1824 - Function main was stopped. PTC terminates. 10:45:13.556290 1823 - Function SCCPStart was stopped. PTC terminates. 10:45:13.556308 1824 - Terminating component type RAN_Emulation.RAN_Emulation_CT. 10:45:13.556311 1825 - Function main_server was stopped. PTC terminates. 10:45:13.556315 1824 - Removing unterminated connection between port BSSAP and VirtMSC-SCCP(1823):SCCP_SP_PORT. 10:45:13.556318 1822 - Port STATSVTY was unmapped from system:STATSVTY. 10:45:13.556318 1823 - Terminating component type SCCP_Emulation.SCCP_CT. 10:45:13.556330 1822 - Port STATSVTY was stopped. 10:45:13.556336 1822 - Port STATSD_PROC was stopped. 10:45:13.556337 1825 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:45:13.556338 1824 - Port BSSAP was stopped. 10:45:13.556341 1822 - Removing unterminated mapping between port STATS and system:STATS. 10:45:13.556345 1824 - Port CLIENT was stopped. 10:45:13.556345 1825 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:45:13.556349 1824 - Removing unterminated connection between port MGCP and VirtMSC-IPA(1825):IPA_MGCP_PORT. 10:45:13.556351 1828 - Function main was stopped. PTC remains alive and is waiting for next start. 10:45:13.556365 1823 - Component type SCCP_Emulation.SCCP_CT was shut down inside testcase TC_no_msc. 10:45:13.556367 1824 - Port MGCP was stopped. 10:45:13.556373 1823 - Final verdict of PTC: none 10:45:13.556373 1824 - Removing unterminated connection between port CTRL and VirtMSC-IPA(1825):IPA_CTRL_PORT. 10:45:13.556384 1824 - Port CTRL was stopped. 10:45:13.556389 1824 - Removing unterminated connection between port CTRL_CLIENT and mtc:SCCPLITE_IPA_CTRL. 10:45:13.556399 1824 - Port CTRL_CLIENT was stopped. 10:45:13.556404 1824 - Port PROC was stopped. 10:45:13.556408 1824 - Component type RAN_Emulation.RAN_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:13.556416 1824 - Final verdict of PTC: none 10:45:13.556465 mtc BSC_Tests.ttcn:918 Connection of port SCCPLITE_IPA_CTRL to VirtMSC-RAN(1824):CTRL_CLIENT was closed unexpectedly by the peer. 10:45:13.556512 mtc BSC_Tests.ttcn:918 Port SCCPLITE_IPA_CTRL was disconnected from VirtMSC-RAN(1824):CTRL_CLIENT. 10:45:13.556515 1824 - Disconnected from MC. 10:45:13.556524 1830 - Function main was stopped. PTC remains alive and is waiting for next start. 10:45:13.556559 1824 - TTCN-3 Parallel Test Component finished. 10:45:13.556565 1822 - Port STATS was unmapped from system:STATS. 10:45:13.556577 1822 - Port STATS was stopped. 10:45:13.556582 1822 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_no_msc. 10:45:13.556584 1825 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:45:13.556589 1822 - Final verdict of PTC: none 10:45:13.556598 1825 - Port IPA_PORT was stopped. 10:45:13.556605 1825 - Port CFG_PORT was stopped. 10:45:13.556610 1825 - Removing unterminated connection between port MTP3_SP_PORT and VirtMSC-SCCP(1823):MTP3_SCCP_PORT. 10:45:13.556623 1825 - Port MTP3_SP_PORT was stopped. 10:45:13.556628 1825 - Removing unterminated connection between port IPA_MGCP_PORT and VirtMSC-RAN(1824):MGCP. 10:45:13.556637 1825 - Port IPA_MGCP_PORT was stopped. 10:45:13.556641 1825 - Port IPA_RSL_PORT was stopped. 10:45:13.556646 1825 - Port IPA_OML_PORT was stopped. 10:45:13.556650 1825 - Removing unterminated connection between port IPA_CTRL_PORT and VirtMSC-RAN(1824):CTRL. 10:45:13.556659 1825 - Port IPA_CTRL_PORT was stopped. 10:45:13.556664 1825 - Port IPA_SP_PORT was stopped. 10:45:13.556668 1825 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:13.556676 1825 - Final verdict of PTC: none 10:45:13.556688 1822 - Disconnected from MC. 10:45:13.556701 1822 - TTCN-3 Parallel Test Component finished. 10:45:13.556720 1825 - Disconnected from MC. 10:45:13.556728 1827 - Function main_client was stopped. PTC terminates. 10:45:13.556729 1825 - TTCN-3 Parallel Test Component finished. 10:45:13.556741 1829 - Function main_client was stopped. PTC remains alive and is waiting for next start. 10:45:13.556792 1827 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:45:13.556814 1827 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:45:13.557695 1827 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:45:13.557798 1827 - Port IPA_PORT was stopped. 10:45:13.557837 1827 - Port CFG_PORT was stopped. 10:45:13.557870 1827 - Port MTP3_SP_PORT was stopped. 10:45:13.557900 1827 - Port IPA_MGCP_PORT was stopped. 10:45:13.557930 1827 - Port IPA_RSL_PORT was stopped. 10:45:13.557957 1827 - Port IPA_OML_PORT was stopped. 10:45:13.557985 1827 - Removing unterminated connection between port IPA_CTRL_PORT and mtc:IPA_CTRL. 10:45:13.558062 1827 - Port IPA_CTRL_PORT was stopped. 10:45:13.558096 1827 - Port IPA_SP_PORT was stopped. 10:45:13.558125 1827 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:13.558146 mtc BSC_Tests.ttcn:918 Connection of port IPA_CTRL to IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT was closed unexpectedly by the peer. 10:45:13.558174 1827 - Final verdict of PTC: none 10:45:13.558234 mtc BSC_Tests.ttcn:918 Port IPA_CTRL was disconnected from IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT. 10:45:13.558289 1827 - Disconnected from MC. 10:45:13.558336 mtc BSC_Tests.ttcn:918 All components were stopped. 10:45:13.558340 1827 - TTCN-3 Parallel Test Component finished. 10:45:13.558366 mtc BSC_Tests.ttcn:919 setverdict(pass): pass -> pass, component reason not changed 10:45:13.558382 mtc BSC_Tests.ttcn:920 Stopping test component execution. 10:45:13.558413 mtc BSC_Tests.ttcn:10961 Test case TC_no_msc was stopped. 10:45:13.558421 mtc BSC_Tests.ttcn:10961 Terminating component type BSC_Tests.test_CT. 10:45:13.558430 mtc BSC_Tests.ttcn:10961 Default with id 1 (altstep as_Tguard) was deactivated. 10:45:13.558439 mtc BSC_Tests.ttcn:10961 Stop timer T_guard: 30 s 10:45:13.558452 mtc BSC_Tests.ttcn:10961 Port IPA_CTRL was stopped. 10:45:13.558460 mtc BSC_Tests.ttcn:10961 Removing unterminated connection between port RSL_CCHAN[0] and IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT. 10:45:13.558500 mtc BSC_Tests.ttcn:10961 Message with id 1 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558511 mtc BSC_Tests.ttcn:10961 Message with id 2 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558519 mtc BSC_Tests.ttcn:10961 Message with id 3 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558526 1830 - Connection of port CCHAN_PT to mtc:RSL_CCHAN[0] was closed unexpectedly by the peer. 10:45:13.558526 mtc BSC_Tests.ttcn:10961 Message with id 4 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558534 mtc BSC_Tests.ttcn:10961 Message with id 5 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558542 mtc BSC_Tests.ttcn:10961 Message with id 6 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558550 mtc BSC_Tests.ttcn:10961 Message with id 7 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558557 mtc BSC_Tests.ttcn:10961 Message with id 8 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558564 mtc BSC_Tests.ttcn:10961 Message with id 9 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558572 mtc BSC_Tests.ttcn:10961 Message with id 10 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558579 mtc BSC_Tests.ttcn:10961 Message with id 11 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558580 1830 - Port CCHAN_PT was disconnected from mtc:RSL_CCHAN[0]. 10:45:13.558586 mtc BSC_Tests.ttcn:10961 Message with id 12 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558606 mtc BSC_Tests.ttcn:10961 Message with id 13 was extracted from the queue of RSL_CCHAN[0]. 10:45:13.558626 mtc BSC_Tests.ttcn:10961 Port RSL_CCHAN[0] was stopped. 10:45:13.558642 mtc BSC_Tests.ttcn:10961 Port RSL_CCHAN[1] was stopped. 10:45:13.558658 mtc BSC_Tests.ttcn:10961 Port RSL_CCHAN[2] was stopped. 10:45:13.558673 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][0] was stopped. 10:45:13.558688 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][1] was stopped. 10:45:13.558703 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][2] was stopped. 10:45:13.558718 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][3] was stopped. 10:45:13.558733 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][0] was stopped. 10:45:13.558748 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][1] was stopped. 10:45:13.558781 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][2] was stopped. 10:45:13.558796 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][3] was stopped. 10:45:13.558811 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][0] was stopped. 10:45:13.558825 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][1] was stopped. 10:45:13.558840 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][2] was stopped. 10:45:13.558854 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][3] was stopped. 10:45:13.558870 mtc BSC_Tests.ttcn:10961 Port IPA was stopped. 10:45:13.558885 mtc BSC_Tests.ttcn:10961 Port SCCPLITE_IPA_CTRL was stopped. 10:45:13.558901 mtc BSC_Tests.ttcn:10961 Removing unterminated connection between port IPA_CFG_PORT[0][0] and IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT. 10:45:13.558945 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][0] was stopped. 10:45:13.558961 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][1] was stopped. 10:45:13.558977 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][2] was stopped. 10:45:13.558992 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][3] was stopped. 10:45:13.559006 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][0] was stopped. 10:45:13.559015 1829 - Connection of port CFG_PORT to mtc:IPA_CFG_PORT[0][0] was closed unexpectedly by the peer. 10:45:13.559022 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][1] was stopped. 10:45:13.559036 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][2] was stopped. 10:45:13.559053 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][3] was stopped. 10:45:13.559069 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][0] was stopped. 10:45:13.559084 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][1] was stopped. 10:45:13.559099 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][2] was stopped. 10:45:13.559105 1829 - Port CFG_PORT was disconnected from mtc:IPA_CFG_PORT[0][0]. 10:45:13.559114 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][3] was stopped. 10:45:13.559133 mtc BSC_Tests.ttcn:10961 Removing unterminated mapping between port BSCVTY and system:BSCVTY. 10:45:13.559215 mtc BSC_Tests.ttcn:10961 Port BSCVTY was unmapped from system:BSCVTY. 10:45:13.559240 mtc BSC_Tests.ttcn:10961 Port BSCVTY was stopped. 10:45:13.559256 mtc BSC_Tests.ttcn:10961 Port BSSAP was stopped. 10:45:13.559272 mtc BSC_Tests.ttcn:10961 Port BSSAP_LE was stopped. 10:45:13.559288 mtc BSC_Tests.ttcn:10961 Component type BSC_Tests.test_CT was shut down inside testcase TC_no_msc. 10:45:13.559307 mtc BSC_Tests.ttcn:10961 Waiting for PTCs to finish. 10:45:13.559397 1828 - Kill was requested from MC. Terminating idle PTC. 10:45:13.559412 1829 - Kill was requested from MC. Terminating idle PTC. 10:45:13.559437 1830 - Kill was requested from MC. Terminating idle PTC. 10:45:13.559457 1828 - Terminating component type MGCP_Emulation.MGCP_Emulation_CT. 10:45:13.559478 1829 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:45:13.559482 1828 - Removing unterminated mapping between port MGCP and system:MGCP_CODEC_PT. 10:45:13.559526 1829 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:45:13.559551 1830 - Terminating component type RSL_Emulation.RSL_Emulation_CT. 10:45:13.559602 1830 - Removing unterminated connection between port IPA_PT and IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT. 10:45:13.559702 1830 - Port IPA_PT was stopped. 10:45:13.559750 1830 - Port CLIENT_PT was stopped. 10:45:13.559786 1830 - Port RSL_PROC was stopped. 10:45:13.559821 1830 - Port CCHAN_PT was stopped. 10:45:13.559855 1830 - Component type RSL_Emulation.RSL_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:13.559909 1830 - Final verdict of PTC: none 10:45:13.560262 1830 - Disconnected from MC. 10:45:13.560348 1828 - Port MGCP was unmapped from system:MGCP_CODEC_PT. 10:45:13.560398 1830 - TTCN-3 Parallel Test Component finished. 10:45:13.560421 1828 - Port MGCP was stopped. 10:45:13.560454 1828 - Port MGCP_CLIENT was stopped. 10:45:13.560479 1828 - Port MGCP_CLIENT_MULTI was stopped. 10:45:13.560502 1828 - Port MGCP_PROC was stopped. 10:45:13.560546 1828 - Component type MGCP_Emulation.MGCP_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:13.560579 1828 - Final verdict of PTC: none 10:45:13.560728 1828 - Disconnected from MC. 10:45:13.560757 1828 - TTCN-3 Parallel Test Component finished. 10:45:13.561106 1829 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:45:13.561260 1829 - Port IPA_PORT was stopped. 10:45:13.561327 1829 - Port CFG_PORT was stopped. 10:45:13.561376 1829 - Port MTP3_SP_PORT was stopped. 10:45:13.561423 1829 - Port IPA_MGCP_PORT was stopped. 10:45:13.561470 1829 - Removing unterminated connection between port IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT. 10:45:13.561638 1829 - Port IPA_RSL_PORT was stopped. 10:45:13.561653 1829 - Port IPA_OML_PORT was stopped. 10:45:13.561664 1829 - Port IPA_CTRL_PORT was stopped. 10:45:13.561674 1829 - Port IPA_SP_PORT was stopped. 10:45:13.561684 1829 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:13.561702 1829 - Final verdict of PTC: none 10:45:13.561754 1829 - Disconnected from MC. 10:45:13.561766 mtc BSC_Tests.ttcn:10961 Setting final verdict of the test case. 10:45:13.561772 1829 - TTCN-3 Parallel Test Component finished. 10:45:13.561810 mtc BSC_Tests.ttcn:10961 Local verdict of MTC: pass 10:45:13.561836 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-STATS(1822): none (pass -> pass) 10:45:13.561853 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-SCCP(1823): none (pass -> pass) 10:45:13.561869 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-RAN(1824): none (pass -> pass) 10:45:13.561884 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-IPA(1825): none (pass -> pass) 10:45:13.561901 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-IPA-WAIT(1826): pass (pass -> pass) 10:45:13.561917 mtc BSC_Tests.ttcn:10961 Local verdict of PTC IPA-CTRL-CLI-IPA(1827): none (pass -> pass) 10:45:13.561931 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMGW-MGCP-0(1828): none (pass -> pass) 10:45:13.561946 mtc BSC_Tests.ttcn:10961 Local verdict of PTC IPA-BTS0-TRX0-RSL-IPA(1829): none (pass -> pass) 10:45:13.561961 mtc BSC_Tests.ttcn:10961 Local verdict of PTC IPA-BTS0-TRX0-RSL-RSL(1830): none (pass -> pass) 10:45:13.561978 mtc BSC_Tests.ttcn:10961 Local verdict of PTC TC_no_msc(1831): pass (pass -> pass) 10:45:13.561997 mtc BSC_Tests.ttcn:10961 Test case TC_no_msc finished. Verdict: pass 10:45:13.562018 mtc BSC_Tests.ttcn:10961 Starting external command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_no_msc pass'. 10:45:18.693267 mtc BSC_Tests.ttcn:10961 External command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_no_msc pass' was executed successfully (exit status: 0). 10:45:18.693344 mtc BSC_Tests.ttcn:11038 Switching to log file `BSC_Tests-TC_refuse_chan_act_to_vamos-9a885065f0cf-mtc.log'