08:56:32.282672 mtc PCU_Tests.ttcn:4703 Starting external command `../ttcn3-tcpdump-start.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion'. 08:56:33.294333 mtc PCU_Tests.ttcn:4703 External command `../ttcn3-tcpdump-start.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion' was executed successfully (exit status: 0). 08:56:33.318282 mtc PCU_Tests.ttcn:4703 Test case TC_egprs_pkt_chan_req_reject_exhaustion started. 08:56:33.318410 mtc PCU_Tests.ttcn:4703 Initializing variables, timers and ports of component type PCU_Tests.RAW_PCU_Test_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:33.318566 mtc PCU_Tests.ttcn:4703 Port BTS was started. 08:56:33.318599 mtc PCU_Tests.ttcn:4703 Port IPA_CTRL was started. 08:56:33.318620 mtc PCU_Tests.ttcn:4703 Port STATSD_PROC was started. 08:56:33.318640 mtc PCU_Tests.ttcn:4703 Port PROC was started. 08:56:33.318658 mtc PCU_Tests.ttcn:4703 Port RIM was started. 08:56:33.318675 mtc PCU_Tests.ttcn:4703 Port BSSGP[0] was started. 08:56:33.318694 mtc PCU_Tests.ttcn:4703 Port BSSGP[1] was started. 08:56:33.318713 mtc PCU_Tests.ttcn:4703 Port BSSGP[2] was started. 08:56:33.318730 mtc PCU_Tests.ttcn:4703 Port BSSGP_SIG[0] was started. 08:56:33.318749 mtc PCU_Tests.ttcn:4703 Port BSSGP_SIG[1] was started. 08:56:33.318768 mtc PCU_Tests.ttcn:4703 Port BSSGP_SIG[2] was started. 08:56:33.318786 mtc PCU_Tests.ttcn:4703 Port BSSGP_GLOBAL[0] was started. 08:56:33.318805 mtc PCU_Tests.ttcn:4703 Port BSSGP_GLOBAL[1] was started. 08:56:33.318823 mtc PCU_Tests.ttcn:4703 Port BSSGP_GLOBAL[2] was started. 08:56:33.318842 mtc PCU_Tests.ttcn:4703 Port BSSGP_PROC[0] was started. 08:56:33.318860 mtc PCU_Tests.ttcn:4703 Port BSSGP_PROC[1] was started. 08:56:33.318879 mtc PCU_Tests.ttcn:4703 Port BSSGP_PROC[2] was started. 08:56:33.318897 mtc PCU_Tests.ttcn:4703 Port PCUVTY was started. 08:56:33.318915 mtc PCU_Tests.ttcn:4703 Component type PCU_Tests.RAW_PCU_Test_CT was initialized. 08:56:33.320689 mtc PCU_Tests.ttcn:280 Start timer g_T_guard: 60 s 08:56:33.320742 mtc PCU_Tests.ttcn:281 Altstep as_Tguard_RAW was activated as default, id 1 08:56:33.320772 mtc PCU_Tests.ttcn:284 Creating new alive PTC with component type PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. 08:56:33.325730 509 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:33.325734 509 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:33.325778 509 - TTCN-3 Parallel Test Component started on a572166dfa59. Component reference: PCUIF(509), component type: PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. Version: 9.0.0. 08:56:33.325790 509 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; PCUIF.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:33.325812 509 - Connected to MC. 08:56:33.325818 509 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCUIF_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:33.325940 mtc PCU_Tests.ttcn:284 PTC was created. Component reference: 509, alive: yes, type: PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. 08:56:33.325965 mtc PCU_Tests.ttcn:286 Mapping port PCUIF(509):PCU to system:PCU. 08:56:33.326741 509 - Port PCU was started. 08:56:33.326753 509 - Port BTS was started. 08:56:33.326756 509 - Port MTC was started. 08:56:33.326759 509 - Component type PCUIF_Components.RAW_PCUIF_CT was initialized. 08:56:33.326780 509 - Port PCU was mapped to system:PCU. 08:56:33.326817 mtc PCU_Tests.ttcn:286 Map operation of PCUIF(509):PCU to system:PCU finished. 08:56:33.326841 mtc PCU_Tests.ttcn:289 Creating new alive PTC with component type PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. 08:56:33.328754 510 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:33.328757 510 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:33.328806 510 - TTCN-3 Parallel Test Component started on a572166dfa59. Component reference: BTS(510), component type: PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. Version: 9.0.0. 08:56:33.328819 510 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:33.328842 510 - Connected to MC. 08:56:33.328848 510 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCU_BTS_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:33.328916 mtc PCU_Tests.ttcn:289 PTC was created. Component reference: 510, alive: yes, type: PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. 08:56:33.328939 mtc PCU_Tests.ttcn:290 Connecting ports BTS(510):PCUIF and PCUIF(509):BTS. 08:56:33.329118 509 - Port BTS is waiting for connection from BTS(510):PCUIF on UNIX pathname /tmp/ttcn3-portconn-fb48aaa3. 08:56:33.329987 510 - Port CLCK was started. 08:56:33.330012 510 - Port PCUIF was started. 08:56:33.330020 510 - Port TC was started. 08:56:33.330027 510 - Component type PCUIF_Components.RAW_PCU_BTS_CT was initialized. 08:56:33.330061 510 - Port PCUIF has established the connection with PCUIF(509):BTS using transport type UNIX. 08:56:33.330208 509 - Port BTS has accepted the connection from BTS(510):PCUIF. 08:56:33.330236 mtc PCU_Tests.ttcn:290 Connect operation on BTS(510):PCUIF and PCUIF(509):BTS finished. 08:56:33.330252 mtc PCU_Tests.ttcn:291 Connecting ports BTS(510):TC and mtc:BTS. 08:56:33.330379 mtc PCU_Tests.ttcn:291 Port BTS is waiting for connection from BTS(510):TC on UNIX pathname /tmp/ttcn3-portconn-1544fe16. 08:56:33.330413 510 - Port TC has established the connection with mtc:BTS using transport type UNIX. 08:56:33.330446 mtc PCU_Tests.ttcn:291 Port BTS has accepted the connection from BTS(510):TC. 08:56:33.330461 mtc PCU_Tests.ttcn:291 Connect operation on BTS(510):TC and mtc:BTS finished. 08:56:33.330480 mtc PCU_Tests.ttcn:259 Mapping port mtc:PCUVTY to system:PCUVTY. 08:56:33.330828 mtc PCU_Tests.ttcn:259 Message enqueued on PCUVTY from system integer : 2 id 1 08:56:33.330850 mtc PCU_Tests.ttcn:259 Port PCUVTY was mapped to system:PCUVTY. 08:56:33.330884 mtc PCU_Tests.ttcn:259 Map operation of mtc:PCUVTY to system:PCUVTY finished. 08:56:33.330904 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoPCU> ", has_wildcards := false } } 08:56:33.330919 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoPCU# ", has_wildcards := false } } 08:56:33.330930 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoPCU(*)", has_wildcards := true } } 08:56:33.330986 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "enable" 08:56:33.331019 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:33.331041 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:33.331051 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:33.331060 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:33.331068 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:33.331076 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:33.331087 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port PCUVTY succeeded: 2 with ? matched 08:56:33.331099 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port PCUVTY succeeded, message from system(): integer : 2 id 1 08:56:33.331111 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of PCUVTY. 08:56:33.331131 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 2 08:56:33.331170 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:33.331203 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:33.331216 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 2 08:56:33.331227 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of PCUVTY. 08:56:33.331238 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:33.331250 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "configure terminal" 08:56:33.331270 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:33.331375 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config)# " id 3 08:56:33.331404 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:33.331429 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:33.331463 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config)# " with pattern "[\w-]+\(*\)\# " matched 08:56:33.331475 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config)# " id 3 08:56:33.331488 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of PCUVTY. 08:56:33.331500 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:33.331511 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "pcu" 08:56:33.331530 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:33.331610 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 4 08:56:33.331634 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:33.331656 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:33.331687 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:33.331699 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 4 08:56:33.331709 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of PCUVTY. 08:56:33.331726 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:33.331736 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "egprs only" 08:56:33.331752 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:33.331820 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" id 5 08:56:33.331834 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 6 08:56:33.331859 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:33.331882 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:33.331905 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 08:56:33.331923 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 08:56:33.331937 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port PCUVTY succeeded: "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with ? matched 08:56:33.331951 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port PCUVTY succeeded, message from system(): charstring : "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" id 5 08:56:33.331962 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 5 was extracted from the queue of PCUVTY. 08:56:33.331982 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:33.332004 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:33.332034 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:33.332045 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 6 08:56:33.332056 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of PCUVTY. 08:56:33.332067 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:33.332077 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "end" 08:56:33.332094 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:33.332115 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 7 08:56:33.332135 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:33.332156 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:33.332167 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 7 08:56:33.332176 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of PCUVTY. 08:56:33.332186 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:33.332196 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "configure terminal" 08:56:33.332211 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:33.332245 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config)# " id 8 08:56:33.332266 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:33.332286 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:33.332313 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config)# " with pattern "[\w-]+\(*\)\# " matched 08:56:33.332324 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config)# " id 8 08:56:33.332334 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of PCUVTY. 08:56:33.332344 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:33.332353 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "pcu" 08:56:33.332367 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:33.332436 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 9 08:56:33.332456 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:33.332476 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:33.332503 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:33.332513 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 9 08:56:33.332523 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of PCUVTY. 08:56:33.332533 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:33.332543 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "no two-phase-access" 08:56:33.332557 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:33.332625 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 10 08:56:33.332644 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:33.332663 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:33.332687 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:33.332698 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 10 08:56:33.332707 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of PCUVTY. 08:56:33.332717 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:33.332725 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "end" 08:56:33.332739 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:33.332762 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 11 08:56:33.332780 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:33.332800 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:33.332810 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 11 08:56:33.332820 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 11 was extracted from the queue of PCUVTY. 08:56:33.332829 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:33.332843 mtc StatsD_Checker.ttcn:251 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. 08:56:33.335625 511 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:33.335630 511 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:33.335700 511 - TTCN-3 Parallel Test Component started on a572166dfa59. Component reference: TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511), component type: StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. Version: 9.0.0. 08:56:33.335721 511 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:33.335755 511 - Connected to MC. 08:56:33.335766 511 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:33.335844 mtc StatsD_Checker.ttcn:251 PTC was created. Component reference: 511, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. 08:56:33.335860 mtc StatsD_Checker.ttcn:252 Starting function main("172.18.229.10", 8125) on component TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511). 08:56:33.335939 mtc StatsD_Checker.ttcn:252 Function was started. 08:56:33.335947 mtc PCU_Tests.ttcn:298 Connecting ports mtc:STATSD_PROC and TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC. 08:56:33.336025 mtc PCU_Tests.ttcn:298 Port STATSD_PROC is waiting for connection from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-1656a802. 08:56:33.336940 511 - Port STATSVTY was started. 08:56:33.336961 511 - Port STATSD_PROC was started. 08:56:33.336972 511 - Port STATS was started. 08:56:33.336979 511 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 08:56:33.337031 511 - Starting function main("172.18.229.10", 8125). 08:56:33.337088 511 - Port STATSD_PROC has established the connection with mtc:STATSD_PROC using transport type UNIX. 08:56:33.337112 mtc PCU_Tests.ttcn:298 Port STATSD_PROC has accepted the connection from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC. 08:56:33.337125 mtc PCU_Tests.ttcn:298 Connect operation on mtc:STATSD_PROC and TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC finished. 08:56:33.337135 mtc PCU_Tests.ttcn:300 Starting function f_PCUIF_CT_handler("/data/unix/pcu_bts", false) on component PCUIF(509). 08:56:33.337160 mtc PCU_Tests.ttcn:300 Function was started. 08:56:33.337198 511 StatsD_Checker.ttcn:103 Mapping port TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATS to system:STATS. 08:56:33.337224 mtc PCU_Tests.ttcn:301 Starting function f_BTS_CT_handler(0, { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12E50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) }, true) on component BTS(510). 08:56:33.337225 509 - Starting function f_PCUIF_CT_handler("/data/unix/pcu_bts", false). 08:56:33.337248 511 StatsD_Checker.ttcn:103 Port STATS was mapped to system:STATS. 08:56:33.337277 511 StatsD_Checker.ttcn:103 Map operation of TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATS to system:STATS finished. 08:56:33.337336 509 PCUIF_Components.ttcn:648 "Init PCU interface on '/data/unix/pcu_bts', waiting for connection..." 08:56:33.337401 511 StatsD_Checker.ttcn:104 entering f__IPL4__PROVIDER__listen: 172.18.229.10:8125 / UDP 08:56:33.337549 mtc PCU_Tests.ttcn:301 Function was started. 08:56:33.337603 509 PCUIF_CodecPort.ttcn:117 Sent on PCU to system @UD_Types.UD_listen : { path := "/data/unix/pcu_bts" } 08:56:33.337732 510 - Starting function f_BTS_CT_handler(0, { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12E50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) }, true). 08:56:33.337833 509 PCUIF_CodecPort.ttcn:117 Message enqueued on PCU from system @UD_Types.UD_listen_result : { id := 0, result := { result_code := SUCCESS (1), err := omit } } id 1 08:56:33.337937 509 PCUIF_CodecPort.ttcn:118 Start timer T: 5 s 08:56:33.338027 509 PCUIF_CodecPort.ttcn:120 Matching on port PCU succeeded: matched 08:56:33.338053 509 PCUIF_CodecPort.ttcn:120 Receive operation on port PCU succeeded, message from system(): @UD_Types.UD_listen_result : { id := 0, result := { result_code := SUCCESS (1), err := omit } } id 1 08:56:33.338067 509 PCUIF_CodecPort.ttcn:120 Message with id 1 was extracted from the queue of PCU. 08:56:33.338125 509 PCUIF_Components.ttcn:652 Start timer T_Conn: 10 s 08:56:33.338180 511 StatsD_Checker.ttcn:111 Mapping port TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSVTY to system:STATSVTY. 08:56:33.338469 510 PCUIF_Components.ttcn:499 Creating new alive PTC with component type PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. 08:56:33.338667 511 StatsD_Checker.ttcn:111 Message enqueued on STATSVTY from system integer : 2 id 1 08:56:33.338735 511 StatsD_Checker.ttcn:111 Port STATSVTY was mapped to system:STATSVTY. 08:56:33.338766 511 StatsD_Checker.ttcn:111 Map operation of TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSVTY to system:STATSVTY finished. 08:56:33.338908 511 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoPCU> ", has_wildcards := false } } 08:56:33.338967 511 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoPCU# ", has_wildcards := false } } 08:56:33.338986 511 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoPCU(*)", has_wildcards := true } } 08:56:33.339128 511 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 08:56:33.339204 511 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:33.339263 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:33.339276 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:33.339285 511 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:33.339293 511 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:33.339312 511 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:33.339363 511 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 08:56:33.339386 511 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 08:56:33.339401 511 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 08:56:33.339480 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 2 08:56:33.339576 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:33.339616 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:33.339628 511 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 2 08:56:33.339640 511 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 08:56:33.339661 511 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:33.339681 511 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 08:56:33.339707 511 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:33.339777 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 3 08:56:33.339805 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:33.339831 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:33.339842 511 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 3 08:56:33.339854 511 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 08:56:33.339865 511 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:33.342400 512 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:33.342407 512 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:33.342899 512 - TTCN-3 Parallel Test Component started on a572166dfa59. Component reference: ClckGen-0(512), component type: PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. Version: 9.0.0. 08:56:33.342931 512 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; ClckGen-0.ConsoleMask:=ERROR; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:33.342984 512 - Connected to MC. 08:56:33.342998 512 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCU_ClckGen_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:33.343034 510 PCUIF_Components.ttcn:499 PTC was created. Component reference: 512, alive: yes, type: PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. 08:56:33.343089 510 PCUIF_Components.ttcn:500 Connecting ports ClckGen-0(512):CLCK and BTS(510):CLCK. 08:56:33.343221 510 PCUIF_Components.ttcn:500 Port CLCK is waiting for connection from ClckGen-0(512):CLCK on UNIX pathname /tmp/ttcn3-portconn-1854a4a3. 08:56:33.344582 512 - Port CLCK was started. 08:56:33.344614 512 - Component type PCUIF_Components.RAW_PCU_ClckGen_CT was initialized. 08:56:33.344655 512 - Port CLCK has established the connection with BTS(510):CLCK using transport type UNIX. 08:56:33.344697 510 PCUIF_Components.ttcn:500 Port CLCK has accepted the connection from ClckGen-0(512):CLCK. 08:56:33.344717 510 PCUIF_Components.ttcn:500 Connect operation on ClckGen-0(512):CLCK and BTS(510):CLCK finished. 08:56:35.088185 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_connected : { path := "/data/unix/pcu_bts", id := 0, result := { result_code := SUCCESS (1), err := omit } } id 2 08:56:35.088409 509 PCUIF_Components.ttcn:654 Matching on port PCU succeeded: matched 08:56:35.088452 509 PCUIF_Components.ttcn:654 Receive operation on port PCU succeeded, message from system(): @UD_Types.UD_connected : { path := "/data/unix/pcu_bts", id := 0, result := { result_code := SUCCESS (1), err := omit } } id 2 08:56:35.088485 509 PCUIF_Components.ttcn:654 Message with id 2 was extracted from the queue of PCU. 08:56:35.088535 509 PCUIF_Components.ttcn:655 OsmoPCU is now connected 08:56:35.088847 509 PCUIF_Components.ttcn:658 Sent on BTS to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } 08:56:35.089027 509 PCUIF_Components.ttcn:660 setverdict(pass): none -> pass 08:56:35.089085 509 PCUIF_Components.ttcn:661 Stop timer T_Conn: 10 s 08:56:35.089327 510 PCUIF_Components.ttcn:503 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } id 1 08:56:35.089441 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '7000000000312E342E3000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 3 08:56:35.089616 510 PCUIF_Components.ttcn:503 Matching on port PCUIF succeeded: matched 08:56:35.089700 510 PCUIF_Components.ttcn:503 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } id 1 08:56:35.089701 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '7000000000312E342E3000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.089745 510 PCUIF_Components.ttcn:503 Message with id 1 was extracted from the queue of PCUIF. 08:56:35.090363 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } } 08:56:35.090669 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } }, id := 0 } id 3 08:56:35.090758 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:35.090803 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } }, id := 0 } id 3 08:56:35.090833 509 PCUIF_Components.ttcn:665 Message with id 3 was extracted from the queue of PCU. 08:56:35.090892 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } } 08:56:35.091268 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } } id 2 08:56:35.091584 510 PCUIF_Components.ttcn:507 Matching on port PCUIF succeeded: matched 08:56:35.091632 510 PCUIF_Components.ttcn:507 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } } id 2 08:56:35.091666 510 PCUIF_Components.ttcn:507 Message with id 2 was extracted from the queue of PCUIF. 08:56:35.091693 510 PCUIF_Components.ttcn:508 Rx TXT.ind from the PCU, version is "1.4.0" 08:56:35.093095 510 PCUIF_Components.ttcn:511 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12E50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } 08:56:35.093951 510 PCUIF_Components.ttcn:377 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:35.094080 510 PCUIF_Components.ttcn:522 Sent on TC to mtc @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } 08:56:35.094143 510 PCUIF_Components.ttcn:525 Starting function f_ClckGen_CT_handler(0) on component ClckGen-0(512). 08:56:35.094293 mtc PCU_Tests.ttcn:304 Message enqueued on BTS from BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } id 1 08:56:35.094458 mtc PCU_Tests.ttcn:304 Matching on port BTS succeeded: matched 08:56:35.094496 mtc PCU_Tests.ttcn:304 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } id 1 08:56:35.094512 510 PCUIF_Components.ttcn:525 Function was started. 08:56:35.094529 mtc PCU_Tests.ttcn:304 Message with id 1 was extracted from the queue of BTS. 08:56:35.094626 mtc StatsD_Checker.ttcn:262 Called on STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511) @StatsD_Checker.STATSD_reset : { } 08:56:35.094639 512 - Starting function f_ClckGen_CT_handler(0). 08:56:35.094687 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12E50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } id 1 08:56:35.094926 511 StatsD_Checker.ttcn:119 Call enqueued on STATSD_PROC from mtc @StatsD_Checker.STATSD_reset : { } id 1 08:56:35.095115 511 StatsD_Checker.ttcn:120 Matching on port STATSD_PROC succeeded: { } with { } matched 08:56:35.095185 511 StatsD_Checker.ttcn:120 Getcall operation on port STATSD_PROC succeeded, call from mtc: @StatsD_Checker.STATSD_reset : { } id 1 08:56:35.095238 511 StatsD_Checker.ttcn:120 Operation with id 1 was extracted from the queue of STATSD_PROC. 08:56:35.095271 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } 08:56:35.095274 511 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 08:56:35.095291 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.095421 511 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:35.095517 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.095595 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } id 1 08:56:35.095740 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12E50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } id 1 08:56:35.095849 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:35.095867 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 4 08:56:35.095893 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } id 1 08:56:35.095898 509 PCUIF_Components.ttcn:678 Message with id 1 was extracted from the queue of BTS. 08:56:35.095928 510 PCUIF_Components.ttcn:444 Message with id 1 was extracted from the queue of CLCK. 08:56:35.096025 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } 08:56:35.096077 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:35.096172 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:35.096203 511 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 4 08:56:35.096235 511 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of STATSVTY. 08:56:35.096265 511 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:35.096387 511 StatsD_Checker.ttcn:122 Replied on STATSD_PROC to mtc @StatsD_Checker.STATSD_reset : { } 08:56:35.096621 mtc StatsD_Checker.ttcn:262 Reply enqueued on STATSD_PROC from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511) @StatsD_Checker.STATSD_reset : { } id 1 08:56:35.096744 mtc StatsD_Checker.ttcn:263 Matching on port STATSD_PROC succeeded: { } with { } matched 08:56:35.096777 mtc StatsD_Checker.ttcn:263 Getreply operation on port STATSD_PROC succeeded, reply from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511): @StatsD_Checker.STATSD_reset : { } id 1 08:56:35.096809 mtc StatsD_Checker.ttcn:263 Operation with id 1 was extracted from the queue of STATSD_PROC. 08:56:35.096844 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.444616. 08:56:35.096959 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:35.097020 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101100000'B 08:56:35.097074 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (683): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:35.097157 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=683, TA=0 08:56:35.097253 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.097318 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12E50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } }, id := 0 } 08:56:35.097322 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:35.097507 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 1 08:56:35.097548 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12E50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } 08:56:35.097866 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:35.097980 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.098027 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.098058 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:35.098092 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 1 08:56:35.098125 510 PCUIF_Components.ttcn:352 Message with id 1 was extracted from the queue of TC. 08:56:35.098179 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.098872 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '320000000C0000000100FF1F6703800000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000068030000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000690300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006A0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006B0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006C0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006D0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006E0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000706012A00004F330000D204030303031E030A03030303030A030A030A03E051FA0003D204030505A0050A04080FC409C4090201D2040000D8590000D85900000400AC12E50A0000000000000000000000000000000000000000000000000000000005'O 08:56:35.099304 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '320000000C0000000100FF1F6703800000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000068030000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000690300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006A0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006B0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006C0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006D0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006E0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000706012A00004F330000D204030303031E030A03030303030A030A030A03E051FA0003D204030505A0050A04080FC409C4090201D2040000D8590000D85900000400AC12E50A0000000000000000000000000000000000000000000000000000000005'O, id := 0 } 08:56:35.099621 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 2 08:56:35.099739 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } id 3 08:56:35.099821 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:35.099903 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.099938 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 2 08:56:35.099968 509 PCUIF_Components.ttcn:678 Message with id 2 was extracted from the queue of BTS. 08:56:35.100110 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:35.100139 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:35.100190 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.100205 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.100323 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.100609 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.100674 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.100702 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } id 3 08:56:35.100728 509 PCUIF_Components.ttcn:678 Message with id 3 was extracted from the queue of BTS. 08:56:35.100784 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } }, id := 0 } 08:56:35.100808 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } 08:56:35.100846 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.101215 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.101274 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.101305 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:35.101331 509 PCUIF_Components.ttcn:678 Message with id 4 was extracted from the queue of BTS. 08:56:35.101445 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:35.101471 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.101532 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.101883 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.102374 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '4000000001000700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 4 08:56:35.102421 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '4000000001000700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.102690 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } 08:56:35.102752 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } }, id := 0 } id 4 08:56:35.102786 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:35.102814 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } }, id := 0 } id 4 08:56:35.102841 509 PCUIF_Components.ttcn:665 Message with id 4 was extracted from the queue of PCU. 08:56:35.102871 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } 08:56:35.103077 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } id 3 08:56:35.103289 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_ACT_REQ (64) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:35.103354 510 PCUIF_Components.ttcn:529 Matching on port PCUIF succeeded: matched 08:56:35.103387 510 PCUIF_Components.ttcn:529 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } id 3 08:56:35.103418 510 PCUIF_Components.ttcn:529 Message with id 3 was extracted from the queue of PCUIF. 08:56:35.103443 510 PCUIF_Components.ttcn:530 "Rx ACT.req from the PCU: TRX0/TS7" 08:56:35.104443 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 5 08:56:35.104573 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.104965 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.104978 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.105091 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.105136 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 5 08:56:35.105184 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:35.105223 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 5 08:56:35.105255 509 PCUIF_Components.ttcn:665 Message with id 5 was extracted from the queue of PCU. 08:56:35.105294 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.105521 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 4 08:56:35.105688 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:35.105779 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.105827 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.105894 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:35.105931 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:35.105967 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 4 08:56:35.106021 510 PCUIF_Components.ttcn:556 Message with id 4 was extracted from the queue of PCUIF. 08:56:35.106156 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O 08:56:35.106424 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045A00000000B2B2B2B2B2B'O, confirm := false } 08:56:35.106606 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:35.106857 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045A00000000B2B2B2B2B2B'O 08:56:35.108038 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.108998 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:35.109688 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 2 08:56:35.109745 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.109901 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } 08:56:35.109969 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.110002 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:35.110087 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 2 08:56:35.110098 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } id 2 08:56:35.110141 mtc GPRS_Components.ttcn:1068 Message with id 2 was extracted from the queue of BTS. 08:56:35.110188 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.110424 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:35.110477 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:35.110499 mtc GPRS_Components.ttcn:1077 setverdict(pass): none -> pass 08:56:35.110505 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } id 2 08:56:35.110539 510 PCUIF_Components.ttcn:460 Message with id 2 was extracted from the queue of CLCK. 08:56:35.110556 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:35.110592 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.936685. 08:56:35.110656 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '111'B } } 08:56:35.110687 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:35.110709 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010111100000'B 08:56:35.110763 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (687): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '111'B } } 08:56:35.110786 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 5 08:56:35.110830 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.110839 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=687, TA=0 08:56:35.110860 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 5 08:56:35.110888 509 PCUIF_Components.ttcn:678 Message with id 5 was extracted from the queue of BTS. 08:56:35.110922 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.110955 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:35.110982 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:35.110989 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:35.111064 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.111118 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 2 08:56:35.111309 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:35.111369 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.111411 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.111442 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:35.111464 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.111475 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 2 08:56:35.111507 510 PCUIF_Components.ttcn:352 Message with id 2 was extracted from the queue of TC. 08:56:35.111541 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.111630 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:35.111668 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.111697 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:35.111723 509 PCUIF_Components.ttcn:678 Message with id 6 was extracted from the queue of BTS. 08:56:35.111772 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:35.111798 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.111849 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AF0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.112201 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AF0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.114098 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 6 08:56:35.114166 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.114427 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.114522 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 6 08:56:35.114554 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:35.114586 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 6 08:56:35.114614 509 PCUIF_Components.ttcn:665 Message with id 6 was extracted from the queue of PCU. 08:56:35.114617 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.114646 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.114750 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } 08:56:35.114768 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 5 08:56:35.114804 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.114958 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:35.115026 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.115074 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.115126 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:35.115162 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:35.115199 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 5 08:56:35.115231 510 PCUIF_Components.ttcn:556 Message with id 5 was extracted from the queue of PCUIF. 08:56:35.115260 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B00'O 08:56:35.115303 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000047A10800000B2B2B2B2B2B'O, confirm := false } 08:56:35.115342 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:35.115428 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000047A10800000B2B2B2B2B2B'O 08:56:35.115539 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.115772 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:35.115934 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } id 3 08:56:35.115998 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:35.116026 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } id 3 08:56:35.116053 510 PCUIF_Components.ttcn:444 Message with id 3 was extracted from the queue of CLCK. 08:56:35.116092 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } 08:56:35.116151 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 3 08:56:35.116155 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } id 7 08:56:35.116188 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.116214 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } id 7 08:56:35.116238 509 PCUIF_Components.ttcn:678 Message with id 7 was extracted from the queue of BTS. 08:56:35.116273 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } }, id := 0 } 08:56:35.116298 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } 08:56:35.116334 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.116437 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:35.116520 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 3 08:56:35.116598 mtc GPRS_Components.ttcn:1068 Message with id 3 was extracted from the queue of BTS. 08:56:35.116647 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.116686 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.116928 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:35.116983 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:35.117017 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.389546. 08:56:35.117080 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:35.117132 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101100000'B 08:56:35.117182 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (683): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:35.117246 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=683, TA=0 08:56:35.117327 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.117392 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:35.117408 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 3 08:56:35.117471 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:35.117511 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.117549 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.117590 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:35.117620 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 3 08:56:35.117646 510 PCUIF_Components.ttcn:352 Message with id 3 was extracted from the queue of TC. 08:56:35.117677 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.117739 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:35.117775 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.117802 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:35.117835 509 PCUIF_Components.ttcn:678 Message with id 8 was extracted from the queue of BTS. 08:56:35.117876 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:35.117901 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.117946 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.118288 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.119452 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.119587 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.120205 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 7 08:56:35.120266 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.120531 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.120625 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 7 08:56:35.120659 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:35.120693 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 7 08:56:35.120721 509 PCUIF_Components.ttcn:665 Message with id 7 was extracted from the queue of PCU. 08:56:35.120754 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.120825 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 6 08:56:35.120882 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:35.120926 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.120967 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.121005 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:35.121035 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:35.121068 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 6 08:56:35.121094 510 PCUIF_Components.ttcn:556 Message with id 6 was extracted from the queue of PCUIF. 08:56:35.121128 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O 08:56:35.121166 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045A21000000B2B2B2B2B2B'O, confirm := false } 08:56:35.121200 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:35.121233 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045A21000000B2B2B2B2B2B'O 08:56:35.121304 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.121451 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:35.121800 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 4 08:56:35.122106 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:35.122190 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 4 08:56:35.122245 mtc GPRS_Components.ttcn:1068 Message with id 4 was extracted from the queue of BTS. 08:56:35.122292 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.122613 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:35.122668 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:35.122703 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.893544. 08:56:35.122766 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '111'B } } 08:56:35.122818 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010111100000'B 08:56:35.122870 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (687): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '111'B } } 08:56:35.122935 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=687, TA=0 08:56:35.123020 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.123084 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:35.123091 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:35.123158 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:35.123195 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.123231 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.123259 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:35.123288 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:35.123313 510 PCUIF_Components.ttcn:352 Message with id 4 was extracted from the queue of TC. 08:56:35.123343 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.123412 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 9 08:56:35.123451 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.123479 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 9 08:56:35.123893 509 PCUIF_Components.ttcn:678 Message with id 9 was extracted from the queue of BTS. 08:56:35.123953 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:35.123980 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.124030 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AF0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.124239 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.124364 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.124410 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AF0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.126268 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 8 08:56:35.126327 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.126578 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.126669 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 8 08:56:35.126701 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:35.126734 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 8 08:56:35.126761 509 PCUIF_Components.ttcn:665 Message with id 8 was extracted from the queue of PCU. 08:56:35.126794 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.126864 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 7 08:56:35.126919 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:35.126962 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.127003 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.127048 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:35.127080 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:35.127112 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 7 08:56:35.127139 510 PCUIF_Components.ttcn:556 Message with id 7 was extracted from the queue of PCUIF. 08:56:35.127163 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B00'O 08:56:35.127198 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000047A31800000B2B2B2B2B2B'O, confirm := false } 08:56:35.127231 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:35.127262 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000047A31800000B2B2B2B2B2B'O 08:56:35.127328 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.127460 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:35.127814 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 5 08:56:35.128095 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:35.128178 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 5 08:56:35.128254 mtc GPRS_Components.ttcn:1068 Message with id 5 was extracted from the queue of BTS. 08:56:35.128301 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.128588 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:35.128641 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:35.128674 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.615622. 08:56:35.128736 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '100'B } } 08:56:35.128788 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010110000000'B 08:56:35.128839 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (684): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '100'B } } 08:56:35.128904 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=684, TA=0 08:56:35.128985 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.129014 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.129049 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:35.129060 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 5 08:56:35.129120 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:35.129158 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.129169 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } 08:56:35.129194 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.129223 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:35.129232 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.129251 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 5 08:56:35.129278 510 PCUIF_Components.ttcn:352 Message with id 5 was extracted from the queue of TC. 08:56:35.129307 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.129372 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 10 08:56:35.129380 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } id 4 08:56:35.129408 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.129437 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 10 08:56:35.129437 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:35.129468 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:35.129476 509 PCUIF_Components.ttcn:678 Message with id 10 was extracted from the queue of BTS. 08:56:35.129492 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } id 4 08:56:35.129518 510 PCUIF_Components.ttcn:460 Message with id 4 was extracted from the queue of CLCK. 08:56:35.129523 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:35.129548 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.129589 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:35.129592 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AC0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.129938 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AC0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.130024 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 11 08:56:35.130056 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.130084 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 11 08:56:35.130109 509 PCUIF_Components.ttcn:678 Message with id 11 was extracted from the queue of BTS. 08:56:35.130157 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:35.130181 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:35.130231 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.130601 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.131762 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 9 08:56:35.131922 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.132209 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.132329 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 9 08:56:35.132381 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:35.132420 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 9 08:56:35.132452 509 PCUIF_Components.ttcn:665 Message with id 9 was extracted from the queue of PCU. 08:56:35.132491 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.132574 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 8 08:56:35.132630 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:35.132672 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.132712 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.132748 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:35.132779 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:35.132810 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 8 08:56:35.132837 510 PCUIF_Components.ttcn:556 Message with id 8 was extracted from the queue of PCUIF. 08:56:35.132861 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B00'O 08:56:35.132898 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000046242000000B2B2B2B2B2B'O, confirm := false } 08:56:35.132932 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:35.132965 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000046242000000B2B2B2B2B2B'O 08:56:35.133033 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01100'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.133174 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01100'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:35.133540 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01100'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 6 08:56:35.133844 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:35.133882 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.133928 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01100'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 6 08:56:35.133984 mtc GPRS_Components.ttcn:1068 Message with id 6 was extracted from the queue of BTS. 08:56:35.134014 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } 08:56:35.134031 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01100'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.134070 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.134088 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } id 5 08:56:35.134145 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:35.134169 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } id 5 08:56:35.134194 510 PCUIF_Components.ttcn:444 Message with id 5 was extracted from the queue of CLCK. 08:56:35.134228 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } 08:56:35.134355 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:35.134403 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } id 12 08:56:35.134413 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:35.134448 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.216915. 08:56:35.134513 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:35.134533 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.134565 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010100100000'B 08:56:35.134573 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } id 12 08:56:35.134606 509 PCUIF_Components.ttcn:678 Message with id 12 was extracted from the queue of BTS. 08:56:35.134619 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (681): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:35.134662 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } }, id := 0 } 08:56:35.134685 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=681, TA=0 08:56:35.134691 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } 08:56:35.134743 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.134767 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.134832 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:35.134844 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:35.134905 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:35.134951 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.134989 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.135018 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:35.135047 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:35.135073 510 PCUIF_Components.ttcn:352 Message with id 6 was extracted from the queue of TC. 08:56:35.135103 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.135133 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.135232 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 13 08:56:35.135269 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.135298 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 13 08:56:35.135324 509 PCUIF_Components.ttcn:678 Message with id 13 was extracted from the queue of BTS. 08:56:35.135374 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:35.135398 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.135457 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.135807 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.137502 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 10 08:56:35.137631 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.137934 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.138054 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 10 08:56:35.138096 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:35.138135 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 10 08:56:35.138167 509 PCUIF_Components.ttcn:665 Message with id 10 was extracted from the queue of PCU. 08:56:35.138206 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.138289 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 9 08:56:35.138356 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:35.138398 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.138437 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.138473 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:35.138512 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:35.138543 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 9 08:56:35.138569 510 PCUIF_Components.ttcn:556 Message with id 9 was extracted from the queue of PCUIF. 08:56:35.138593 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O 08:56:35.138628 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000044A52800000B2B2B2B2B2B'O, confirm := false } 08:56:35.138660 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:35.138692 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000044A52800000B2B2B2B2B2B'O 08:56:35.138713 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.138758 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.138758 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.138887 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:35.139242 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 7 08:56:35.139526 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:35.139607 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 7 08:56:35.139681 mtc GPRS_Components.ttcn:1068 Message with id 7 was extracted from the queue of BTS. 08:56:35.139728 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.140017 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:35.140071 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:35.140104 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.129866. 08:56:35.140166 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:35.140217 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010100100000'B 08:56:35.140268 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (681): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:35.140343 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=681, TA=0 08:56:35.140425 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.140490 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:35.140497 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 7 08:56:35.140552 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:35.140591 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.140628 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.140657 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:35.140687 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 7 08:56:35.140714 510 PCUIF_Components.ttcn:352 Message with id 7 was extracted from the queue of TC. 08:56:35.140743 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.140814 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 14 08:56:35.140857 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.140886 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 14 08:56:35.140911 509 PCUIF_Components.ttcn:678 Message with id 14 was extracted from the queue of BTS. 08:56:35.140953 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:35.140988 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.141032 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.141398 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.143014 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 11 08:56:35.143062 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.143314 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.143404 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.143406 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 11 08:56:35.143437 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:35.143470 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 11 08:56:35.143498 509 PCUIF_Components.ttcn:665 Message with id 11 was extracted from the queue of PCU. 08:56:35.143531 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.143539 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.143600 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 10 08:56:35.143653 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:35.143696 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.143745 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.143781 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:35.143811 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:35.143843 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 10 08:56:35.143870 510 PCUIF_Components.ttcn:556 Message with id 10 was extracted from the queue of PCUIF. 08:56:35.143895 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O 08:56:35.143930 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000044A63000000B2B2B2B2B2B'O, confirm := false } 08:56:35.143963 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:35.143995 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000044A63000000B2B2B2B2B2B'O 08:56:35.144059 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.144185 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:35.144537 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 8 08:56:35.144820 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:35.144903 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 8 08:56:35.144979 mtc GPRS_Components.ttcn:1068 Message with id 8 was extracted from the queue of BTS. 08:56:35.145026 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:35.145311 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:35.145364 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:35.145396 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:35.145447 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010100100000'B 08:56:35.145579 mtc PCU_Tests.ttcn:4590 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.145652 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:35.145653 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:35.145707 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:35.145745 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.145783 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:35.145811 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:35.145841 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:35.145867 510 PCUIF_Components.ttcn:352 Message with id 8 was extracted from the queue of TC. 08:56:35.145896 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.145960 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 15 08:56:35.145995 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.146022 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 15 08:56:35.146047 509 PCUIF_Components.ttcn:678 Message with id 15 was extracted from the queue of BTS. 08:56:35.146089 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:35.146122 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:35.146163 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001A90200004E07000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.146520 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001A90200004E07000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.147697 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 12 08:56:35.147740 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.147988 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.148078 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 12 08:56:35.148108 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:35.148140 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 12 08:56:35.148165 509 PCUIF_Components.ttcn:665 Message with id 12 was extracted from the queue of PCU. 08:56:35.148191 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.148197 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:35.148265 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 11 08:56:35.148345 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } 08:56:35.148405 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.148507 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:35.148555 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.148595 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:35.148631 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:35.148662 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:35.148695 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 11 08:56:35.148722 510 PCUIF_Components.ttcn:556 Message with id 11 was extracted from the queue of PCUIF. 08:56:35.148747 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O 08:56:35.148782 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B'O, confirm := false } 08:56:35.148815 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:35.148847 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B'O 08:56:35.149075 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01001'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } } 08:56:35.149326 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01001'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } 08:56:35.149473 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } id 6 08:56:35.149537 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:35.149565 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:35.149589 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } id 6 08:56:35.149616 510 PCUIF_Components.ttcn:460 Message with id 6 was extracted from the queue of CLCK. 08:56:35.149675 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01001'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } id 9 08:56:35.149685 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:35.149748 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 16 08:56:35.149780 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.149808 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 16 08:56:35.149833 509 PCUIF_Components.ttcn:678 Message with id 16 was extracted from the queue of BTS. 08:56:35.149878 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:35.149903 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:35.149911 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:35.149958 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.149980 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01001'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } id 9 08:56:35.150048 mtc GPRS_Components.ttcn:1068 Message with id 9 was extracted from the queue of BTS. 08:56:35.150088 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01001'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } } 08:56:35.150313 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.150367 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:35.150455 mtc PCU_Tests.ttcn:4622 setverdict(pass): pass -> pass, component reason not changed 08:56:35.150608 mtc StatsD_Checker.ttcn:274 Called on STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511) @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } } } 08:56:35.150910 511 StatsD_Checker.ttcn:119 Call enqueued on STATSD_PROC from mtc @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } } } id 2 08:56:35.151014 511 StatsD_Checker.ttcn:120 Matching on port STATSD_PROC failed: The first entity in the queue is not a call for signature @StatsD_Checker.STATSD_reset. 08:56:35.151079 511 StatsD_Checker.ttcn:124 Matching on port STATSD_PROC succeeded: { expects := matched } 08:56:35.151221 511 StatsD_Checker.ttcn:124 Getcall operation on port STATSD_PROC succeeded, call from mtc: @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } } } id 1 08:56:35.151259 511 StatsD_Checker.ttcn:124 Operation with id 2 was extracted from the queue of STATSD_PROC. 08:56:35.151988 511 StatsD_Checker.ttcn:190 Port STATS was cleared. 08:56:35.152031 511 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats report" 08:56:35.152144 511 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:35.153052 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.153080 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E362E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E362E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373363A307C63'O ("TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.6.rlc.nacked:0|c\nTTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c") } id 1 08:56:35.153206 512 PCUIF_Components.ttcn:248 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } 08:56:35.153305 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.153330 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } id 7 08:56:35.153414 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PTCCH_UL_BURST (6) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:35.153431 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.6.rlc.nacked:0|c\nTTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c" 08:56:35.153446 510 PCUIF_Components.ttcn:460 Matching on port CLCK TDMA_EV_PTCCH_UL_BURST (6) with TDMA_EV_PDTCH_BLOCK_END (4) unmatched: First message in the queue does not match the template: 08:56:35.153512 510 PCUIF_Components.ttcn:484 Matching on port CLCK succeeded: matched 08:56:35.153541 510 PCUIF_Components.ttcn:484 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } id 7 08:56:35.153568 510 PCUIF_Components.ttcn:484 Message with id 7 was extracted from the queue of CLCK. 08:56:35.153844 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.153879 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.153906 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.153929 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.153961 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.153985 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.154056 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.154082 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.154112 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.154135 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.154211 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.154235 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.154261 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.154283 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.154322 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.154345 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.154368 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.154390 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.154419 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.154482 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.154507 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.154578 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.154602 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.154626 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.154666 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.154691 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.154714 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.154736 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.154765 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.154788 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.154811 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.154834 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.154858 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.154881 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.154906 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.154929 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.154953 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.154975 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.154997 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155025 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.155047 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.155069 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155091 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.155112 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155134 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.155156 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155177 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.155199 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.155220 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155248 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.155270 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.155292 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155314 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.155336 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.155359 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155381 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.155405 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.155426 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.155449 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155471 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.155495 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.155516 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.155536 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155564 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.155586 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.155607 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155629 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.155650 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155672 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.155693 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155715 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.155736 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.155758 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155791 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.155815 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.155836 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155860 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.155883 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.155905 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.155927 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.155950 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.155972 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.155994 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156017 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.156040 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.156061 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.156082 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156111 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.156133 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.156154 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156176 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.156197 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156219 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.156241 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156263 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.156285 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.156306 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156334 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.156356 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.156377 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156399 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.156421 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.156444 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156465 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.156489 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.156510 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.156534 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156556 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.156580 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.156602 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.156623 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156651 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.156673 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.156694 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156716 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.156738 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156760 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.156782 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156803 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.156825 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.156846 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156868 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.156895 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.156917 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.156939 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.156960 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.156982 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157003 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.157026 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.157048 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.157071 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157092 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.157115 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.157137 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.157158 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157179 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.157200 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.157222 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157249 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.157271 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157293 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.157315 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157337 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.157359 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.157380 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157401 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.157423 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.157445 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157472 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.157494 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.157517 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157539 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.157562 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.157583 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.157605 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157627 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.157649 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.157670 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.157691 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157712 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.157734 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.157755 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157777 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.157798 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157826 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.157848 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157870 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.157892 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.157913 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157935 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.157954 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.157956 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.157977 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.157999 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.158023 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.158046 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158068 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.158092 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.158108 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } 08:56:35.158119 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.158143 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158165 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.158173 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.158188 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.158189 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } id 8 08:56:35.158217 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.158238 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158250 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:35.158261 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.158276 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } id 8 08:56:35.158283 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.158314 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158327 510 PCUIF_Components.ttcn:444 Message with id 8 was extracted from the queue of CLCK. 08:56:35.158333 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.158354 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158364 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } 08:56:35.158373 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.158394 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158419 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.158439 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.158458 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158477 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.158497 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.158516 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158542 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } id 17 08:56:35.158543 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.158563 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.158583 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158604 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.158626 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.158646 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.158661 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.158666 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158694 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.158697 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } id 17 08:56:35.158716 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.158726 509 PCUIF_Components.ttcn:678 Message with id 17 was extracted from the queue of BTS. 08:56:35.158737 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.158757 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158778 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } }, id := 0 } 08:56:35.158782 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.158803 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.158804 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } 08:56:35.158823 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158843 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.158850 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '520000000D000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.158863 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158882 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.158902 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158921 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.158940 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.158959 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.158978 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.158998 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.159017 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159037 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.159061 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.159082 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159102 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.159122 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.159141 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.159162 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159173 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '520000000D000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.159182 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.159212 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.159242 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.159271 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159291 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.159311 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.159330 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159349 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.159368 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159387 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.159406 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159429 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.159446 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.159463 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159480 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.159498 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.159516 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159540 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.159558 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.159576 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159593 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.159611 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.159629 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.159647 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159665 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.159683 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.159700 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.159717 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159739 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.159757 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.159774 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159791 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.159808 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159825 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.159842 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159860 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.159877 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.159894 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159913 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.159942 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.159960 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.159978 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.159996 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.160015 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160037 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.160056 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.160073 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.160092 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160109 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.160128 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.160145 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.160162 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160179 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.160196 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.160213 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160231 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.160247 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160262 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.160278 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160294 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.160310 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.160326 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160346 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.160361 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.160378 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160394 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.160410 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.160427 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160443 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.160460 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.160476 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.160492 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160509 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.160525 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.160541 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.160556 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160572 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.160588 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.160603 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160619 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.160635 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160656 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.160671 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160687 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.160703 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.160718 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160734 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.160749 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.160765 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160780 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.160796 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.160812 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160827 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.160844 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.160859 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.160875 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160890 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.160908 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.160924 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.160940 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.160963 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.160979 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.160995 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161011 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.161026 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161041 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.161056 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161070 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.161085 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.161100 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161114 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.161129 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.161143 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161158 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.161172 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.161188 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161202 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.161218 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.161232 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.161247 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161265 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.161281 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.161295 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.161309 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161322 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.161337 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.161351 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161365 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.161379 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161394 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.161408 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161422 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.161436 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.161450 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161464 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.161479 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.161492 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161509 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.161524 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.161538 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161551 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.161586 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.161599 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.161612 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161625 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.161639 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.161652 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.161665 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161677 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.161691 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.161704 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161716 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.161729 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161742 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.161755 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161768 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.161782 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.161795 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161808 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.161821 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.161834 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161849 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.161863 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.161876 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161893 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.161907 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.161920 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.161934 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161946 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.161960 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.161973 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.161986 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.161999 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.162012 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.162025 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162038 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.162051 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162065 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.162077 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162090 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.162103 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.162117 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162130 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.162146 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.162159 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162172 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.162186 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.162199 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162360 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.162378 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.162391 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.162407 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162419 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.162432 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.162444 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.162456 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162468 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.162480 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.162492 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162505 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.162517 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162529 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.162542 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162554 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.162566 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.162578 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162591 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.162603 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.162615 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162627 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.162639 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.162652 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162663 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.162676 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.162688 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.162701 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162720 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.162734 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.162746 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.162758 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162770 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.162782 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.162794 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162806 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.162818 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162820 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.162830 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.162843 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162856 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.162868 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.162880 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162892 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.162905 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.162905 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.162918 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162934 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.162946 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.162957 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.162968 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.162980 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.162991 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.163003 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163014 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.163026 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.163037 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.163048 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163059 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.163070 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.163081 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163098 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.163109 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163120 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.163132 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163143 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.163155 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.163166 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163177 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.163188 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.163199 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163210 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.163222 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.163234 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163244 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.163256 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.163267 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.163278 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163288 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.163300 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.163310 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.163320 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163330 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.163342 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.163352 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163362 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.163373 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163384 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.163394 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163407 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.163418 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.163429 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163439 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.163453 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.163464 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163474 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.163485 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.163496 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163506 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.163517 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.163528 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.163539 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163549 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.163560 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.163571 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.163581 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163591 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.163602 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.163612 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163623 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.163633 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163644 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.163655 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163665 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.163676 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.163687 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163697 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.163708 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.163718 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163729 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.163740 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.163751 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163761 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.163772 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.163786 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.163797 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163808 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.163819 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.163830 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.163841 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163850 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.163862 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.163872 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163883 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.163893 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163908 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.163919 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163929 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.163940 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.163951 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163961 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.163972 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.163982 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.163994 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.164004 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.164016 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.164026 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.164037 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.164046 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.164057 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.164066 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.164077 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.164086 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.164096 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.164105 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.164115 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.164125 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.164134 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.164144 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.164154 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.164163 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.164173 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.164183 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.164193 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:35.164202 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.164212 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.164222 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:35.164232 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.164242 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.164252 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:35.164261 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.164272 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.164281 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.164292 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:35.164301 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.164313 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.164322 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.164331 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:35.164340 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.164350 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.164359 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:35.164368 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.164379 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:35.164388 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.164397 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.164406 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:35.164415 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.164439 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.164448 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:35.164458 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.164471 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.164480 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.164490 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.164537 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } 08:56:35.164779 511 Osmocom_VTY_Functions.ttcn:71 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 1 08:56:35.164946 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E352E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E352E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E342E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E342E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373313A307C63'O ("TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.5.rlc.nacked:0|c\nTTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.4.rlc.nacked:0|c\nTTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c") } id 2 08:56:35.164974 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.5.rlc.nacked:0|c\nTTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.4.rlc.nacked:0|c\nTTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c" 08:56:35.165039 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165049 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.165059 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.165069 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165079 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.165088 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165098 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165110 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.165121 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.165131 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.165140 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165149 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.165159 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.165167 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165176 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165185 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.165194 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.165203 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165212 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.165220 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165232 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.165241 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165250 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.165259 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165268 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165277 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.165286 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.165294 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165303 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.165312 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165321 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165333 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.165342 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.165351 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.165361 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165370 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.165380 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.165388 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165396 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165406 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.165415 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.165423 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165434 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.165442 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165451 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.165459 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165468 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.165476 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165484 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165492 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.165501 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.165509 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165520 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.165528 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165537 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165546 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.165555 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.165563 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.165572 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165580 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.165589 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.165597 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165605 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165614 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.165622 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.165630 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165641 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.165650 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165658 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.165666 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165675 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.165683 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165691 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165700 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.165708 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.165716 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165727 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.165735 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165744 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165752 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.165761 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.165769 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.165778 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165787 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.165796 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.165805 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165813 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165821 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.165830 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.165838 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165849 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.165857 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165866 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.165874 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165882 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.165891 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165899 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165907 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.165915 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.165924 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165933 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.165941 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.165951 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165958 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.165966 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.165974 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.165982 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.165989 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.165997 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.166004 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166013 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166024 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.166036 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.166044 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166051 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.166059 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166069 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.166077 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166084 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.166092 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166100 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166107 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.166115 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.166122 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166130 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.166137 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166145 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166155 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.166163 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.166170 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.166178 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166186 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.166194 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.166201 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166209 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166216 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.166224 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.166231 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166239 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.166247 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166254 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.166265 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166272 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.166279 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166287 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166294 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.166308 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.166315 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166322 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.166330 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166337 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166344 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.166352 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.166359 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.166367 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166377 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.166384 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.166391 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166398 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166406 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.166413 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.166420 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166428 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.166435 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166442 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.166449 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166457 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.166464 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166471 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166481 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.166488 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.166495 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166503 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.166510 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166517 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166525 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.166533 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.166540 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.166548 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166557 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.166564 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.166571 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166577 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166587 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.166594 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.166601 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166608 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.166615 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166622 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.166629 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166636 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.166642 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166649 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166657 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.166663 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.166670 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166679 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.166686 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166693 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166701 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.166708 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.166715 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.166722 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166730 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.166737 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.166744 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166751 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166758 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.166765 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.166771 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166778 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.166785 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166794 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.166801 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166808 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.166815 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166822 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166829 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.166836 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.166843 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166850 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.166857 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166864 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166871 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.166879 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.166886 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.166893 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166900 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.166907 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.166916 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166923 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166930 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.166937 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.166944 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166951 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.166958 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166965 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.166972 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.166979 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.166986 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.166993 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167000 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.167007 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.167014 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167023 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.167030 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167037 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167044 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.167052 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167058 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167066 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167073 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.167080 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167087 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167094 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167101 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.167108 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167115 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167121 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.167128 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167134 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.167142 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167148 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.167154 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167160 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167167 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.167173 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.167179 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167186 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.167192 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167198 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167205 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.167211 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167217 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167223 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167230 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.167236 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167242 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167248 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167257 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.167263 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167269 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167276 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.167282 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167288 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.167294 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167301 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.167307 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167313 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167320 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.167326 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.167332 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167339 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.167345 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167351 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167359 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.167366 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167372 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167379 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167386 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.167393 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167399 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167405 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167411 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.167417 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167423 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167429 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.167435 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167441 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.167447 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167453 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.167459 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167465 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167471 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.167477 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.167483 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167491 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.167497 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167503 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167510 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.167516 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167522 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167529 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167535 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.167542 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167544 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.167548 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167554 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167561 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.167567 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167573 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167579 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.167583 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.167585 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167592 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.167598 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167606 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.167612 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167618 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167624 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.167630 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.167636 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167642 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.167648 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167654 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167660 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.167666 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167672 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167679 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167685 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.167691 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167697 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167703 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167709 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.167715 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167721 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167727 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.167733 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167740 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.167746 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167752 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.167758 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167764 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167770 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.167776 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.167782 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167788 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.167794 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167800 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167806 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.167812 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167818 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167825 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167830 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.167837 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167843 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167849 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167855 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.167861 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167867 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167873 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.167879 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167888 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.167894 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167901 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.167907 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167912 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167921 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.167927 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.167932 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167938 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.167943 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167949 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167954 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.167960 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167965 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.167971 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167976 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.167982 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.167987 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.167992 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.167998 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.168003 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168009 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168014 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.168019 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168027 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.168033 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168038 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.168043 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168049 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168054 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.168059 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.168064 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168069 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.168075 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168080 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168086 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.168091 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168097 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168102 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168107 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.168113 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168118 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168124 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168129 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.168134 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168139 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168145 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.168150 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168155 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.168161 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168167 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.168173 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168178 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168183 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.168189 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.168195 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168200 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.168206 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168212 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168218 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.168223 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168229 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168234 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168239 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.168245 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168250 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168256 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168261 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.168266 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168271 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168276 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.168281 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168286 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.168292 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168297 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.168302 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168308 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168313 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.168318 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.168325 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168330 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.168335 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168341 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168346 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.168351 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168357 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168362 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168367 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.168373 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168378 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168383 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168388 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.168394 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168399 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168404 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.168409 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168414 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.168420 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168425 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.168431 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168436 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168441 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.168446 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.168452 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168458 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.168464 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168470 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168475 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.168481 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168486 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168491 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168498 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.168504 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168509 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168514 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168519 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.168524 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168529 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168533 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.168538 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168543 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.168548 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168553 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.168558 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168563 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168567 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.168572 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.168577 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168582 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.168587 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168592 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168597 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.168602 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168607 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168612 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168617 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.168622 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168627 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168632 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168636 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.168641 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168646 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168651 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.168655 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168660 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.168665 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168670 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.168675 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168680 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168685 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.168690 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.168695 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168700 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.168705 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168710 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168715 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.168720 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168725 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168730 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168735 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.168740 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168744 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168749 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168754 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.168759 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168764 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168769 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.168773 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168778 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.168783 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168788 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.168792 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168797 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168802 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.168807 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.168812 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168817 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.168822 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168827 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168831 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.168836 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168841 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168846 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168851 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.168856 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168861 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168866 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168871 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.168875 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168880 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168885 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.168890 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168895 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.168900 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168904 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.168909 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168914 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:35.168919 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.168923 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.168930 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:35.168935 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.168940 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168945 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:35.168949 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.168954 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168959 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.168964 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:35.168969 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.168974 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.168979 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.168984 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:35.168989 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.168994 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.168998 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:35.169003 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.169008 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:35.169012 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.169017 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.169022 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:35.169027 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.169032 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.169036 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:35.169042 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.169047 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.169051 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.169056 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.169060 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } 08:56:35.169119 511 Osmocom_VTY_Functions.ttcn:71 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } } id 2 08:56:35.169134 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 5 08:56:35.169160 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:35.169178 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:35.169185 511 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 5 08:56:35.169191 511 Osmocom_VTY_Functions.ttcn:73 Message with id 5 was extracted from the queue of STATSVTY. 08:56:35.169198 511 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:35.169219 511 StatsD_Checker.ttcn:195 Start timer T_statsd: 5 s 08:56:35.169324 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:35.169362 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 1 08:56:35.169381 511 StatsD_Checker.ttcn:199 Message with id 1 was extracted from the queue of STATS. 08:56:35.169485 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:35.169513 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } } id 2 08:56:35.169525 511 StatsD_Checker.ttcn:199 Message with id 2 was extracted from the queue of STATS. 08:56:35.169624 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E332E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E332E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E322E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E322E6D732E646C5F6374726C5F6D73675F73636865643A307C63'O ("TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.3.rlc.nacked:0|c\nTTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.2.rlc.nacked:0|c\nTTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c") } id 3 08:56:35.169636 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.3.rlc.nacked:0|c\nTTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.2.rlc.nacked:0|c\nTTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c" 08:56:35.169667 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169672 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.169676 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.169680 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169685 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.169689 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.169694 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169698 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.169703 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.169707 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.169712 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169717 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.169722 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.169726 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.169730 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169734 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.169738 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.169742 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169747 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.169752 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169763 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.169767 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169771 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.169774 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.169778 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169782 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.169786 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.169789 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169793 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.169797 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.169801 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169807 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.169811 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.169814 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.169818 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169822 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.169826 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.169830 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.169834 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169837 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.169841 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.169845 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169848 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.169852 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169857 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.169861 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169865 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.169869 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.169872 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169876 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.169880 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.169883 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169887 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.169891 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.169894 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169899 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.169903 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.169907 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.169911 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169915 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.169919 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.169922 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.169926 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169930 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.169933 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.169937 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169942 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.169946 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169950 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.169954 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169958 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.169962 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.169966 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169969 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.169973 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.169977 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169981 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.169987 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.169991 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.169994 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.169998 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170002 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170006 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170010 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.170014 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170017 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170021 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170025 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.170029 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170032 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170037 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.170041 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170045 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.170049 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170053 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.170056 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170060 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170064 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.170068 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.170071 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170075 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.170079 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170082 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170088 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.170092 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170095 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170099 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170103 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.170107 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170111 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170114 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170118 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.170122 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170125 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170129 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.170133 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170138 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.170142 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170146 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.170149 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170153 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170157 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.170161 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.170165 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170169 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.170173 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170177 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170182 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.170186 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170190 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170194 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170198 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.170202 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170205 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170209 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170213 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.170216 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170220 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170224 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.170227 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170231 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.170235 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170240 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.170244 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170248 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170251 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.170255 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.170259 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170263 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.170267 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170271 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170274 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.170278 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170282 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170286 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170291 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.170296 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170303 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170306 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170310 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.170313 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170316 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170320 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.170323 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170327 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.170330 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170334 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.170337 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170341 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170346 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.170350 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.170353 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170357 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.170361 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170364 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170368 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.170372 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170375 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170379 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170382 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.170386 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170390 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170393 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170397 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.170400 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170405 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170408 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.170412 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170416 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.170420 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170424 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.170427 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170430 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170434 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.170437 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.170440 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170444 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.170447 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170451 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170455 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.170459 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170462 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170466 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170470 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.170473 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170476 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170480 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170483 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.170486 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170490 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170493 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.170497 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170500 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.170504 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170509 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.170512 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170516 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170519 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.170523 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.170526 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170529 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.170533 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170536 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170540 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.170543 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170547 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170550 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170554 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.170557 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170561 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170564 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170569 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.170573 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170576 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170580 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.170583 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170586 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.170590 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170593 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.170597 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170600 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170604 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.170607 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.170611 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170615 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.170619 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170622 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170626 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.170630 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170633 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170637 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170640 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.170644 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170647 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170650 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170654 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.170657 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170661 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170664 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.170667 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170671 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.170674 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170679 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.170682 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170686 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170689 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.170693 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.170696 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170700 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.170703 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170707 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170710 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.170714 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170717 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170721 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170724 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.170728 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170732 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170735 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170740 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.170743 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170747 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170750 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.170754 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170757 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.170761 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170764 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.170768 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170771 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170774 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.170778 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.170781 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170785 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.170788 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170792 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170795 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.170799 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170804 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170808 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170811 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.170815 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170818 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170822 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170825 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.170828 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170832 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170835 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.170839 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170842 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.170846 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170849 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.170852 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170856 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170859 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.170863 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.170866 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170871 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.170875 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170879 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170882 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.170886 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170889 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170893 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170896 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.170900 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170904 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170907 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170911 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.170914 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170917 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170921 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.170924 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170928 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.170931 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170936 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.170939 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170943 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170946 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.170950 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.170953 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170956 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.170960 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170963 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170967 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.170971 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170974 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.170978 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170981 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.170985 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.170988 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.170991 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.170995 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.170998 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171002 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171005 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.171008 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171013 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.171016 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171020 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.171023 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171027 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171030 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.171033 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.171037 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171040 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.171044 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171047 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171051 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.171054 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171058 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171061 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171065 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.171068 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171072 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171075 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171078 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.171082 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171085 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171090 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.171093 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171097 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.171100 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171103 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.171107 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171110 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171114 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.171117 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.171120 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171124 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.171127 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171131 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171135 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.171139 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171143 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171146 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171150 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.171153 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171157 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171160 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171163 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.171167 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171170 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171175 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.171178 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171181 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.171185 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171188 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.171192 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171195 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171198 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.171202 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.171205 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171208 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.171212 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171215 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171219 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.171222 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171225 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171229 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171232 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.171236 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171239 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171243 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171246 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.171249 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171252 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171256 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.171259 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171264 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.171267 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171270 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.171274 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171277 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171280 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.171284 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.171287 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171291 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.171294 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171298 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171301 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.171304 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171308 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171311 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171315 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.171318 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171321 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171325 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171328 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.171331 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171335 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171338 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.171341 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171345 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.171348 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171351 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.171356 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171359 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171362 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.171366 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.171369 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171372 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.171376 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171379 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171382 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.171386 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171389 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171393 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171396 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.171400 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171403 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171406 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171410 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.171413 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171416 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171419 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.171423 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171426 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.171430 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171433 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.171436 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171439 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171443 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.171446 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.171449 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171453 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.171456 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171459 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171464 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.171467 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171471 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171474 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171478 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.171481 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171484 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171488 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171491 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.171494 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171497 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171501 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.171504 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171507 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.171511 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171514 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.171517 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171521 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171524 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.171527 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.171531 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171534 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.171537 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171541 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171544 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.171547 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171551 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171554 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171558 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.171561 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171564 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171568 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171571 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.171575 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171578 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171581 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.171584 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171588 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.171591 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171596 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.171599 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171602 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171606 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.171609 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.171612 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171616 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.171619 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171623 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171626 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.171629 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171633 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171636 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171640 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.171643 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171646 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171650 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171653 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.171656 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171660 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171663 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.171666 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171670 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.171673 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171677 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.171680 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171684 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171687 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.171690 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.171694 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171697 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.171701 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171704 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171707 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.171711 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171714 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171718 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171721 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.171725 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171728 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171732 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171735 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.171738 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171741 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171745 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.171748 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171752 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.171756 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171760 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.171763 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171767 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:35.171770 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.171774 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.171777 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:35.171780 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.171784 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171787 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:35.171790 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.171794 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171797 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.171801 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:35.171804 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.171808 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.171811 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.171815 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:35.171818 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.171821 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.171824 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:35.171828 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.171831 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:35.171834 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.171838 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.171841 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:35.171845 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.171848 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.171851 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:35.171855 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.171858 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.171862 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.171865 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.171868 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } 08:56:35.171919 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } } id 3 08:56:35.171928 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:35.171951 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } } id 3 08:56:35.171961 511 StatsD_Checker.ttcn:199 Message with id 3 was extracted from the queue of STATS. 08:56:35.172031 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E312E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E312E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373363A307C63'O ("TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.1.rlc.nacked:0|c\nTTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c") } id 4 08:56:35.172042 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.1.rlc.nacked:0|c\nTTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c" 08:56:35.172065 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172069 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.172073 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.172076 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172080 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.172083 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172088 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172094 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.172098 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172101 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172105 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172108 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.172112 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172115 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172119 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172122 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.172126 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172129 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172134 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.172137 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172141 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.172144 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172148 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.172152 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172155 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172159 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.172162 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.172165 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172170 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.172174 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172177 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172181 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.172184 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172188 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172191 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172195 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.172198 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172202 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172205 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172208 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.172208 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.172212 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172215 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172220 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.172224 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172228 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.172231 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172235 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.172235 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 16 } } 08:56:35.172238 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172242 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172245 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.172246 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.172249 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.172250 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 16 } } id 9 08:56:35.172252 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172257 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.172261 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172262 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:35.172264 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172267 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:35.172268 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.172271 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 16 } } id 9 08:56:35.172272 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172276 510 PCUIF_Components.ttcn:460 Message with id 9 was extracted from the queue of CLCK. 08:56:35.172276 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172280 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172283 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.172287 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172289 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:35.172290 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172294 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172299 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.172303 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172306 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172310 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.172313 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172317 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.172320 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172324 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.172327 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172331 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172335 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.172339 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.172342 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172346 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.172350 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172353 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172357 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.172358 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 18 08:56:35.172361 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172364 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172368 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172372 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.172375 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172379 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172382 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172384 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.172387 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.172390 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172392 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 18 08:56:35.172394 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172397 509 PCUIF_Components.ttcn:678 Message with id 18 was extracted from the queue of BTS. 08:56:35.172397 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.172402 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172405 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.172409 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172411 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:35.172412 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.172416 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:35.172416 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172419 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172423 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.172428 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '0200000005000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000D0000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.172428 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.172432 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172435 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.172439 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172442 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172446 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.172449 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172453 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172456 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172460 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.172463 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172467 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172471 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172475 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.172478 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172483 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172486 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.172490 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172493 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.172496 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '0200000005000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000D0000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.172497 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172500 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.172504 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172507 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172511 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.172514 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.172518 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172523 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.172526 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172532 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172535 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.172539 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172543 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172546 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172550 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.172554 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172558 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172563 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172566 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.172570 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172573 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172578 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.172582 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172586 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.172589 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172593 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.172596 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172600 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172603 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.172607 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.172611 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172614 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.172618 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172622 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172627 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.172631 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172634 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172639 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172643 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.172646 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172650 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172653 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172657 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.172660 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172663 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172667 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.172670 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172675 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.172679 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172682 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.172686 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172689 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172693 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.172696 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.172700 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172703 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.172707 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172710 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172714 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.172718 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172721 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172725 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172730 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.172734 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172737 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172740 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172744 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.172747 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172751 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172754 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.172758 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172762 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.172765 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172768 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.172772 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172775 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172780 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.172783 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.172787 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172791 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.172794 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172798 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172801 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.172805 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172808 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172812 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172816 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.172819 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172823 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172826 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172830 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.172833 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172836 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172841 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.172845 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172848 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.172852 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172855 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.172859 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172862 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172866 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.172869 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.172872 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172876 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.172879 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172883 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172888 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.172891 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172895 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172898 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172902 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.172906 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172909 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172912 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172916 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.172919 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172922 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172926 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.172929 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172933 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.172936 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172941 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.172945 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172948 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172952 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.172955 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.172959 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172962 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.172966 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172969 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172973 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.172976 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172980 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.172983 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.172987 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.172991 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.172994 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.172997 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173001 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.173004 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173009 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173013 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.173016 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173020 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.173023 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173026 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.173030 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173033 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173037 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.173040 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.173044 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173047 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.173051 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173054 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173058 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.173063 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173066 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173070 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173074 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.173077 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173081 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173084 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173088 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.173091 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173094 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173098 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.173101 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173105 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.173108 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173112 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.173115 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173118 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173122 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.173127 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.173130 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173134 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.173137 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173141 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173144 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.173148 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173151 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173155 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173159 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.173162 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173166 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173169 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173172 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.173176 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173179 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173183 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.173186 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173190 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.173193 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173198 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.173201 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173205 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173208 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.173212 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.173215 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173219 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.173222 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173226 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173229 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.173233 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173236 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173240 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173243 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.173247 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173250 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173254 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173257 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.173261 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173264 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173269 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.173272 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173276 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.173279 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173283 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.173286 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173290 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173293 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.173297 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.173300 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173303 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.173307 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173310 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173313 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.173317 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173321 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173324 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173327 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.173331 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173335 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173338 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173342 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.173346 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173349 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173353 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.173356 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173359 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.173363 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173366 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.173370 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173373 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173376 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.173380 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.173383 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173387 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.173390 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173394 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173397 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.173401 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173404 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173408 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173412 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.173416 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173419 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173423 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173426 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.173429 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173433 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173436 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.173439 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173443 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.173446 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173450 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.173453 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173457 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173460 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.173464 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.173467 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173470 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.173474 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173477 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173480 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.173484 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173488 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173491 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173496 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.173500 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173503 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173507 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173510 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.173514 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173517 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173521 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.173525 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173529 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.173532 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173536 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.173539 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173543 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173546 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.173549 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.173553 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173556 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.173559 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173563 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173566 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.173570 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173573 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173577 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173581 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.173585 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173588 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173592 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173595 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.173598 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173602 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173605 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.173608 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173612 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.173615 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173618 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.173622 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173625 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173629 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.173632 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.173635 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173639 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.173642 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173645 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173649 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.173652 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173656 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173659 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173663 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.173666 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173669 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173673 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173682 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.173686 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173689 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173692 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.173696 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173699 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.173702 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173706 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.173709 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173712 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173716 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.173719 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.173722 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173726 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.173729 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173732 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173736 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.173739 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173742 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173746 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173749 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.173753 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173756 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173759 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173763 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.173766 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173769 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173773 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.173776 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173780 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.173784 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173787 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.173790 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173794 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173797 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.173800 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.173804 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173807 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.173810 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173814 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173817 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.173821 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173824 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173828 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173831 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.173834 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173838 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173841 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173844 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.173848 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173851 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173854 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.173857 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173861 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.173864 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173868 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.173871 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173875 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173878 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.173881 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.173885 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173888 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.173892 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173896 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173900 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.173903 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173907 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173910 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173914 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.173917 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173921 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173924 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173927 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.173931 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173934 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173938 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.173941 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173945 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.173948 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173952 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.173955 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173959 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173962 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.173965 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.173969 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173972 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.173975 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.173979 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173982 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.173986 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.173989 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.173993 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.173996 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.173999 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174003 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174006 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174009 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.174013 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174016 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174019 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.174023 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174026 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.174029 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174033 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.174036 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174041 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174044 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.174047 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.174051 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174054 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.174057 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174061 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174064 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.174068 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174071 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174075 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174078 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.174082 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174085 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174088 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174091 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.174095 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174098 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174101 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.174105 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174108 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.174112 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174116 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.174120 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174124 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:35.174127 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.174130 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.174134 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:35.174137 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.174140 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174144 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:35.174147 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.174151 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174154 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174157 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:35.174161 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.174164 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174167 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174171 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:35.174174 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.174177 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.174181 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:35.174184 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.174187 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:35.174190 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.174194 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.174197 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:35.174200 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.174204 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.174207 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:35.174211 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.174214 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.174217 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.174221 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.174224 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } 08:56:35.174272 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 4 08:56:35.174282 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:35.174307 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 4 08:56:35.174315 511 StatsD_Checker.ttcn:199 Message with id 4 was extracted from the queue of STATS. 08:56:35.174384 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E302E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E302E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E7061636B6574732E696E3A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E7061636B6574732E6F75743A317C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E7061636B6574732E6F75742E64726F703A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E62797465732E696E3A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E62797465732E6F75743A31327C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E62797465732E6F75742E64726F703A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E626C6F636B65643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E756E626C6F636B65643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E646561643A307C63'O ("TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.0.rlc.nacked:0|c\nTTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c") } id 5 08:56:35.174394 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.0.rlc.nacked:0|c\nTTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c" 08:56:35.174416 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174421 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.174424 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.174428 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174431 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.174435 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174438 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174442 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.174446 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174451 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174455 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174459 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.174462 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174466 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174469 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174474 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.174477 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174481 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174484 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.174488 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174491 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.174495 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174498 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.174502 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174505 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174510 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.174513 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.174516 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174520 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.174523 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174527 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174530 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.174534 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174537 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174541 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174544 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.174548 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174551 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174554 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174559 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.174562 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174566 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174569 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.174573 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174576 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.174580 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174583 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.174586 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174590 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174594 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.174598 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.174601 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174604 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.174608 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174611 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174615 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.174618 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174622 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174625 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174628 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.174632 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174635 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174639 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174644 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.174647 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174651 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174654 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.174657 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174661 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.174665 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174668 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.174672 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174675 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174680 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.174683 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.174687 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174690 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.174694 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174697 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174701 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.174704 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174708 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174711 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174715 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.174718 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174722 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174725 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174730 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.174733 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174736 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174740 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.174743 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174747 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.174750 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174754 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.174757 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174761 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174764 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.174767 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.174771 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174775 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.174779 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174782 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174786 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.174789 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174792 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174796 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174799 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.174803 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174806 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174810 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174813 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.174816 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174820 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174825 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.174828 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174832 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.174835 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174838 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.174842 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174845 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174849 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.174852 511 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:35.174856 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174859 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.174862 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174866 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174870 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.174874 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174878 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174881 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174885 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.174888 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174892 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174895 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174898 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.174902 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174905 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174909 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.174912 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174916 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.174919 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174924 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.174927 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174931 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174934 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.174938 511 StatsD_CodecPort.ttcn:36 match_first result: 77 08:56:35.174941 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174945 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.174948 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174952 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174955 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.174959 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174962 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174966 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174970 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.174973 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.174977 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.174980 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174985 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.174988 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.174992 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.174995 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.174998 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175002 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.175006 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175009 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.175013 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175016 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175019 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.175023 511 StatsD_CodecPort.ttcn:36 match_first result: 82 08:56:35.175026 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175031 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.175035 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175038 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175042 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.175046 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175049 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175053 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175056 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.175060 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175063 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175067 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175070 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.175074 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175077 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175080 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.175084 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175087 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.175091 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175096 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.175100 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175103 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175107 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.175110 511 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:35.175114 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175117 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.175120 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175124 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175128 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.175131 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175135 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175138 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175142 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.175145 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175149 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175152 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175156 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.175160 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175163 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175166 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.175170 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175175 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.175178 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175182 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.175185 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175188 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175192 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.175195 511 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:35.175199 511 StatsD_CodecPort.ttcn:36 match_begin data: :12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175202 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.175206 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175209 511 StatsD_CodecPort.ttcn:36 match_first data: 12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175213 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.175217 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175220 511 StatsD_CodecPort.ttcn:36 match_first result: 2 08:56:35.175224 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175227 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.175231 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175234 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175237 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175241 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.175244 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175248 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175251 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.175254 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175259 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.175263 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175266 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.175269 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175273 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175276 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.175280 511 StatsD_CodecPort.ttcn:36 match_first result: 80 08:56:35.175283 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175287 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.175290 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175294 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175297 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.175301 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175304 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175308 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175311 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.175315 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175318 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175322 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175325 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.175328 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175332 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175335 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.175339 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175342 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.175345 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175350 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.175353 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175357 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175360 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.175364 511 StatsD_CodecPort.ttcn:36 match_first result: 73 08:56:35.175367 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175371 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.175374 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175378 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175381 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.175385 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175388 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175392 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175395 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.175399 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175402 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175406 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175409 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.175413 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175416 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175420 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.175423 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175426 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.175430 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175433 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.175437 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175440 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175444 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.175447 511 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:35.175451 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175454 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.175458 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175461 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175464 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.175468 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175471 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175476 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175479 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.175483 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175486 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175490 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175493 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.175496 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175500 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175503 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.175506 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175510 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.175513 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175516 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.175520 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175523 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead:0|c 08:56:35.175527 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.175530 511 StatsD_CodecPort.ttcn:36 match_first result: 70 08:56:35.175533 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:35.175537 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.175540 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175544 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:35.175547 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.175551 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175554 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175558 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:35.175561 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.175565 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175568 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175571 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:35.175575 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.175578 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.175581 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:35.175585 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.175588 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:35.175591 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.175595 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.175598 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:35.175603 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.175607 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.175610 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:35.175613 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.175617 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.175620 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.175624 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.175627 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead", val := 0, mtype := "c", srate := omit } } 08:56:35.175670 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead", val := 0, mtype := "c", srate := omit } } } id 5 08:56:35.175678 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:35.175698 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.dead", val := 0, mtype := "c", srate := omit } } } id 5 08:56:35.175707 511 StatsD_Checker.ttcn:199 Message with id 5 was extracted from the queue of STATS. 08:56:35.175762 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E7265706C616365643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E6E7365692D6368673A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E696E762D6E737663693A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E696E762D6E7365693A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E6C6F73742E616C6976653A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E6C6F73742E72657365743A307C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E696E3A307C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E6F75743A317C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E6F75742E64726F703A307C630A5454434E332E6E732E6E73652E313233342E62797465732E696E3A307C630A5454434E332E6E732E6E73652E313233342E62797465732E6F75743A31327C630A5454434E332E6E732E6E73652E313233342E62797465732E6F75742E64726F703A307C630A5454434E332E6E732E6E73652E313233342E626C6F636B65643A307C630A5454434E332E6E732E6E73652E313233342E756E626C6F636B65643A307C630A5454434E332E6E732E6E73652E313233342E646561643A307C630A5454434E332E6E732E6E73652E313233342E7265706C616365643A307C630A5454434E332E6E732E6E73652E313233342E6E7365692D6368673A307C630A5454434E332E6E732E6E73652E313233342E696E762D6E737663693A307C630A5454434E332E6E732E6E73652E313233342E696E762D6E7365693A307C630A5454434E332E6E732E6E73652E313233342E6C6F73742E616C6976653A307C630A5454434E332E6E732E6E73652E313233342E6C6F73742E72657365743A307C63'O ("TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.replaced:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c\nTTCN3.ns.nse.1234.packets.in:0|c\nTTCN3.ns.nse.1234.packets.out:1|c\nTTCN3.ns.nse.1234.packets.out.drop:0|c\nTTCN3.ns.nse.1234.bytes.in:0|c\nTTCN3.ns.nse.1234.bytes.out:12|c\nTTCN3.ns.nse.1234.bytes.out.drop:0|c\nTTCN3.ns.nse.1234.blocked:0|c\nTTCN3.ns.nse.1234.unblocked:0|c\nTTCN3.ns.nse.1234.dead:0|c\nTTCN3.ns.nse.1234.replaced:0|c\nTTCN3.ns.nse.1234.nsei-chg:0|c\nTTCN3.ns.nse.1234.inv-nsvci:0|c\nTTCN3.ns.nse.1234.inv-nsei:0|c\nTTCN3.ns.nse.1234.lost.alive:0|c\nTTCN3.ns.nse.1234.lost.reset:0|c") } id 6 08:56:35.175771 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.replaced:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c\nTTCN3.ns.nse.1234.packets.in:0|c\nTTCN3.ns.nse.1234.packets.out:1|c\nTTCN3.ns.nse.1234.packets.out.drop:0|c\nTTCN3.ns.nse.1234.bytes.in:0|c\nTTCN3.ns.nse.1234.bytes.out:12|c\nTTCN3.ns.nse.1234.bytes.out.drop:0|c\nTTCN3.ns.nse.1234.blocked:0|c\nTTCN3.ns.nse.1234.unblocked:0|c\nTTCN3.ns.nse.1234.dead:0|c\nTTCN3.ns.nse.1234.replaced:0|c\nTTCN3.ns.nse.1234.nsei-chg:0|c\nTTCN3.ns.nse.1234.inv-nsvci:0|c\nTTCN3.ns.nse.1234.inv-nsei:0|c\nTTCN3.ns.nse.1234.lost.alive:0|c\nTTCN3.ns.nse.1234.lost.reset:0|c" 08:56:35.175795 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.replaced:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175799 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.175802 511 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:35.175806 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175809 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.175813 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175816 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175821 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.175825 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175828 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175832 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175835 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.175839 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175842 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175846 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175849 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.175852 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175856 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175859 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.175863 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175867 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.175871 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175875 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.175878 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175882 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175885 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.175889 511 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:35.175892 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175895 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.175899 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175902 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175907 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.175911 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175914 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175918 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175921 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.175925 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.175928 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175931 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175935 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.175938 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.175942 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175945 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.175949 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175953 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.175957 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175960 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.175964 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175967 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175971 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.175974 511 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:35.175978 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175981 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.175984 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.175988 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.175991 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.175996 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176000 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176003 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176007 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.176010 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176014 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176017 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176020 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.176024 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176027 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176031 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.176034 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176038 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.176041 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176046 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.176049 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176053 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176056 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.176060 511 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:35.176063 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176067 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.176070 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176074 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176077 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.176081 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176084 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176088 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176091 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.176096 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176100 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176103 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176106 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.176110 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176113 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176117 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.176120 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176124 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.176127 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176131 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.176134 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176138 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176141 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.176146 511 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:35.176149 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176153 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.176156 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176160 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176163 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.176167 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176170 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176174 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176178 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.176181 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176185 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176188 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176192 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.176195 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176198 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176202 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.176205 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176210 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.176214 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176217 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.176221 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176224 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176228 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.176231 511 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:35.176236 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176240 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.176243 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176247 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176250 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.176254 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176258 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176261 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176265 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.176270 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176273 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176277 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176280 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.176284 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176287 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176291 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.176294 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176298 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.176301 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176305 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.176308 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176312 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176315 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.176319 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:35.176322 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176326 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.176329 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176333 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176337 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.176341 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176344 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176348 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176352 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.176355 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176358 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176362 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176365 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.176369 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176372 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176376 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.176379 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176383 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.176386 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176389 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.176393 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176396 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176401 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.176404 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.176408 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176411 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.176415 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176418 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176422 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.176426 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176429 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176433 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176436 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.176440 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176443 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176447 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176450 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.176453 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176457 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176460 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.176464 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176467 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.176472 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176476 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.176479 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176483 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176486 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.176490 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:35.176493 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176497 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.176500 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176504 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176507 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.176511 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176514 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176518 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176521 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.176525 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176528 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176532 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176535 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.176539 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176542 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176575 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.176579 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176583 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.176586 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176590 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.176593 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176597 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176600 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.176603 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.176607 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176610 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.176614 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176617 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176621 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.176625 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176628 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176632 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176635 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.176639 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176642 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176646 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176649 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.176653 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176656 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176661 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.176664 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176668 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.176671 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176675 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.176678 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176682 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176685 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.176688 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:35.176692 511 StatsD_CodecPort.ttcn:36 match_begin data: :12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176697 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.176701 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176704 511 StatsD_CodecPort.ttcn:36 match_first data: 12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176708 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.176711 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176715 511 StatsD_CodecPort.ttcn:36 match_first result: 2 08:56:35.176718 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176721 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.176725 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176728 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176732 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176735 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.176740 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176744 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176747 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.176750 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176754 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.176757 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176761 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.176764 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176767 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176771 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.176774 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.176778 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176781 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.176784 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176788 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176791 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.176795 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176798 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176802 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176805 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.176808 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176812 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176815 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176818 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.176822 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176825 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176830 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.176833 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176836 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.176840 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176843 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.176847 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176850 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176853 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.176857 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:35.176860 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176863 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.176867 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176867 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.176870 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176873 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.176877 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176881 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176884 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176888 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.176891 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176894 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 17 } } 08:56:35.176895 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176899 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176902 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.176906 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176906 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.176909 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 17 } } id 10 08:56:35.176910 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176913 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.176917 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176920 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:35.176922 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.176924 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 17 } } id 10 08:56:35.176925 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176928 510 PCUIF_Components.ttcn:444 Message with id 10 was extracted from the queue of CLCK. 08:56:35.176929 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.176933 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176934 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } 08:56:35.176936 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176939 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.176943 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:35.176946 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176949 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.176953 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176956 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176960 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.176963 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176966 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176970 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176973 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.176977 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.176980 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.176983 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176987 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.176990 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.176993 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.176997 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } id 19 08:56:35.176997 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.177000 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177003 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.177007 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177010 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.177014 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177017 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177022 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.177024 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:35.177025 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:35.177029 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177031 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } id 19 08:56:35.177032 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.177036 509 PCUIF_Components.ttcn:678 Message with id 19 was extracted from the queue of BTS. 08:56:35.177036 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177039 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177043 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.177046 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } }, id := 0 } 08:56:35.177047 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177050 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177052 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } 08:56:35.177054 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177058 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.177061 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:35.177062 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177065 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177068 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177071 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.177075 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177078 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177081 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.177085 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177088 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.177092 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177095 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.177099 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177102 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177106 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.177109 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.177112 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177116 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.177119 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177123 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177124 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:35.177126 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.177130 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177135 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177138 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177142 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.177145 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177149 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177152 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177156 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.177159 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177162 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177166 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.177169 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177173 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.177176 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177180 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.177183 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177187 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177190 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.177194 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.177197 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177200 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.177204 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177207 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177210 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.177214 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177218 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177221 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177224 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.177228 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177231 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177235 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177238 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.177241 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177244 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177248 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.177251 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177255 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.177258 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177263 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.177266 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177269 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177273 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.177276 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:35.177280 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177284 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.177287 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177290 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177294 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.177297 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177300 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177304 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177307 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.177311 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177314 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177317 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177320 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.177324 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177327 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177330 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.177333 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177337 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.177340 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177343 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.177347 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177350 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177354 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.177357 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.177360 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177364 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.177367 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177372 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177376 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.177379 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177383 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177386 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177390 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.177393 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177396 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177400 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177403 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.177406 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177411 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177414 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.177417 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177421 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.177424 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177427 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.177431 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177434 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177437 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.177440 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:35.177444 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177447 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.177450 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177454 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177457 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.177461 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177464 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177467 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177471 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.177474 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177477 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177481 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177484 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.177487 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177490 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177494 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.177497 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177500 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.177504 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177507 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.177510 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177513 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.lost.reset:0|c 08:56:35.177517 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.177520 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:35.177523 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:35.177526 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.177530 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177533 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:35.177536 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.177540 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177543 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177546 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:35.177549 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.177553 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177556 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177559 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:35.177562 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.177566 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.177569 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:35.177572 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.177575 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:35.177578 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.177582 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.177585 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:35.177588 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.177591 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.177596 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:35.177599 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.177602 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.177605 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.177609 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.177612 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit } } 08:56:35.177657 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit } } } id 6 08:56:35.177666 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:35.177688 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit } } } id 6 08:56:35.177695 511 StatsD_Checker.ttcn:199 Message with id 6 was extracted from the queue of STATS. 08:56:35.177760 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7063752E7367736E2E302E72785F706167696E675F63733A307C630A5454434E332E7063752E7367736E2E302E72785F706167696E675F70733A307C630A5454434E332E62737367702E6273735F6374782E313233342E7061636B6574732E696E3A307C630A5454434E332E62737367702E6273735F6374782E313233342E7061636B6574732E6F75743A307C630A5454434E332E62737367702E6273735F6374782E313233342E62797465732E696E3A307C630A5454434E332E62737367702E6273735F6374782E313233342E62797465732E6F75743A307C630A5454434E332E62737367702E6273735F6374782E313233342E626C6F636B65643A307C630A5454434E332E62737367702E6273735F6374782E313233342E6469736361726465643A307C630A5454434E332E62737367702E6273735F6374782E313233342E7374617475733A307C630A5454434E332E6274732E302E706463682E616C6C5F616C6C6F63617465643A307C630A5454434E332E6274732E302E7462662E646C2E616C6C6F633A307C630A5454434E332E6274732E302E7462662E646C2E66726565643A307C630A5454434E332E6274732E302E7462662E646C2E61626F727465643A307C630A5454434E332E6274732E302E7462662E756C2E616C6C6F633A377C630A5454434E332E6274732E302E7462662E756C2E66726565643A307C630A5454434E332E6274732E302E7462662E756C2E61626F727465643A307C630A5454434E332E6274732E302E7462662E7265757365643A307C630A5454434E332E6274732E302E7462662E616C6C6F632E616C676F2D613A307C630A5454434E332E6274732E302E7462662E616C6C6F632E616C676F2D623A377C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65643A317C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F7466693A307C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F7573663A317C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F736C6F745F636F6D62693A307C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F736C6F745F617661696C3A307C630A5454434E332E6274732E302E726C632E73656E743A307C630A5454434E332E6274732E302E726C632E726573656E743A307C630A5454434E332E6274732E302E726C632E7265737461727465643A307C630A5454434E332E6274732E302E726C632E7374616C6C65643A307C630A5454434E332E6274732E302E726C632E6E61636B65643A307C63'O ("TTCN3.pcu.sgsn.0.rx_paging_cs:0|c\nTTCN3.pcu.sgsn.0.rx_paging_ps:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.in:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.out:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.in:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.out:0|c\nTTCN3.bssgp.bss_ctx.1234.blocked:0|c\nTTCN3.bssgp.bss_ctx.1234.discarded:0|c\nTTCN3.bssgp.bss_ctx.1234.status:0|c\nTTCN3.bts.0.pdch.all_allocated:0|c\nTTCN3.bts.0.tbf.dl.alloc:0|c\nTTCN3.bts.0.tbf.dl.freed:0|c\nTTCN3.bts.0.tbf.dl.aborted:0|c\nTTCN3.bts.0.tbf.ul.alloc:7|c\nTTCN3.bts.0.tbf.ul.freed:0|c\nTTCN3.bts.0.tbf.ul.aborted:0|c\nTTCN3.bts.0.tbf.reused:0|c\nTTCN3.bts.0.tbf.alloc.algo-a:0|c\nTTCN3.bts.0.tbf.alloc.algo-b:7|c\nTTCN3.bts.0.tbf.alloc.failed:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_usf:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c\nTTCN3.bts.0.rlc.sent:0|c\nTTCN3.bts.0.rlc.resent:0|c\nTTCN3.bts.0.rlc.restarted:0|c\nTTCN3.bts.0.rlc.stalled:0|c\nTTCN3.bts.0.rlc.nacked:0|c") } id 7 08:56:35.177773 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.pcu.sgsn.0.rx_paging_cs:0|c\nTTCN3.pcu.sgsn.0.rx_paging_ps:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.in:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.out:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.in:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.out:0|c\nTTCN3.bssgp.bss_ctx.1234.blocked:0|c\nTTCN3.bssgp.bss_ctx.1234.discarded:0|c\nTTCN3.bssgp.bss_ctx.1234.status:0|c\nTTCN3.bts.0.pdch.all_allocated:0|c\nTTCN3.bts.0.tbf.dl.alloc:0|c\nTTCN3.bts.0.tbf.dl.freed:0|c\nTTCN3.bts.0.tbf.dl.aborted:0|c\nTTCN3.bts.0.tbf.ul.alloc:7|c\nTTCN3.bts.0.tbf.ul.freed:0|c\nTTCN3.bts.0.tbf.ul.aborted:0|c\nTTCN3.bts.0.tbf.reused:0|c\nTTCN3.bts.0.tbf.alloc.algo-a:0|c\nTTCN3.bts.0.tbf.alloc.algo-b:7|c\nTTCN3.bts.0.tbf.alloc.failed:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_usf:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c\nTTCN3.bts.0.rlc.sent:0|c\nTTCN3.bts.0.rlc.resent:0|c\nTTCN3.bts.0.rlc.restarted:0|c\nTTCN3.bts.0.rlc.stalled:0|c\nTTCN3.bts.0.rlc.nacked:0|c" 08:56:35.177796 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177801 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.177805 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.177808 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177811 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.177815 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177818 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177822 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.177825 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177829 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177832 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177836 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.177840 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177843 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177846 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177851 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.177854 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177857 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177861 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.177864 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177868 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.177871 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177876 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.177879 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177883 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177886 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.177889 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.177893 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177896 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.177900 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177903 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177907 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.177910 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177913 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177917 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177922 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.177925 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.177928 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177932 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177935 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.177938 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.177942 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177945 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.177948 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177952 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.177955 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177965 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.177969 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177972 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177975 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.177979 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.177982 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177986 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.177989 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.177992 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.177997 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.178001 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178004 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178007 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178011 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.178014 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178018 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178021 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178024 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.178028 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178031 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178034 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.178038 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178042 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.178046 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178049 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.178053 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178056 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178059 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.178063 511 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:35.178066 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178069 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.178073 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178076 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178081 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.178085 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178088 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178091 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178095 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.178098 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178102 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178105 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178108 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.178112 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178115 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178119 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.178122 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178126 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.178130 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178133 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.178137 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178140 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178143 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.178147 511 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:35.178150 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178154 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.178157 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178161 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178166 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.178169 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178173 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178176 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178180 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.178183 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178187 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178190 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178193 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.178197 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178200 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178203 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.178207 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178211 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.178215 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178218 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.178222 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178225 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178228 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.178232 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:35.178235 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178239 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.178242 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178246 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178250 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.178254 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178257 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178261 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178264 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.178268 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178271 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178275 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178278 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.178281 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178285 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178288 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.178291 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178295 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.178301 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178305 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.178309 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178312 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178315 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.178319 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.178322 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178326 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.178329 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178333 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178336 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.178340 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178343 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178347 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178351 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.178356 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178359 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178363 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178366 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.178369 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178372 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178376 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.178379 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178383 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.178386 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178389 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.178394 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178398 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178401 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.178404 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:35.178408 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178411 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.178415 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178418 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178422 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.178425 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178428 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178432 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178435 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.178439 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178442 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178445 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178450 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.178453 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178456 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178460 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.178463 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178467 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.178470 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178473 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.178476 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178480 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178483 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.178486 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.178490 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178494 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.178498 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178501 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178504 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.178508 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178511 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178515 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178518 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.178522 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178525 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178528 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178531 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.178535 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178538 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178541 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.178546 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178550 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.178553 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178556 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.178560 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178563 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178566 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.178570 511 StatsD_CodecPort.ttcn:36 match_first result: 30 08:56:35.178573 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178576 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.178580 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178583 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178587 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.178590 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178595 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178598 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178602 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.178605 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178608 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178612 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178615 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.178618 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178621 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178625 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.178628 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178631 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.178635 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178638 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.178641 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178645 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178649 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.178653 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:35.178656 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178659 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.178663 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178666 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178669 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.178673 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178676 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178680 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178683 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.178687 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178690 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178693 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178697 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.178700 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178703 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178708 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.178711 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178715 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.178718 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178721 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.178725 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178728 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178732 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.178735 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:35.178738 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178742 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.178745 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178749 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178753 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.178756 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178760 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178763 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178767 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.178770 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178774 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178777 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178780 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.178784 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178787 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178790 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.178794 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178797 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.178800 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178804 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.178807 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178811 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178815 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.178819 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.178822 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178826 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.178829 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178832 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178836 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.178840 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178843 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178846 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178850 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.178853 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178857 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178860 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178863 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.178867 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178870 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178875 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.178878 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178882 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.178885 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178889 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.178892 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178895 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178899 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.178902 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:35.178906 511 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178909 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.178912 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178916 511 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178919 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.178923 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178926 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178930 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178934 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.178938 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.178941 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178945 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178948 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.178951 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.178955 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178958 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.178962 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178965 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.178969 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178972 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.178975 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.178979 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178982 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.178986 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:35.178989 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.178994 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.178997 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179000 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179004 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179008 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179011 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179015 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179018 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.179022 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179025 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179028 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179032 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.179035 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179039 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179042 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.179045 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179049 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.179052 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179057 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.179061 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179064 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179067 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.179071 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.179074 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179078 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.179081 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179084 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179088 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179092 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179095 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179099 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179102 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.179106 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179109 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179112 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179116 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.179119 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179123 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179126 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.179131 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179134 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.179138 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179141 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.179144 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179148 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179151 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.179155 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:35.179158 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179161 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.179165 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179168 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179172 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179175 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179179 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179182 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179185 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.179189 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179192 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179195 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179200 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.179203 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179207 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179210 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.179213 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179217 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.179220 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179223 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.179227 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179230 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179233 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.179237 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:35.179240 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179243 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.179247 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179250 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179253 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179257 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179260 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179264 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179269 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.179273 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179276 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179279 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179283 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.179286 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179289 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179293 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.179296 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179299 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.179303 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179306 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.179310 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179313 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179316 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.179320 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:35.179323 511 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179326 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.179329 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179333 511 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179336 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179340 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179344 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179348 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179351 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.179355 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179358 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179361 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179364 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.179368 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179371 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179375 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.179378 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179381 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.179385 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179388 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.179391 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179394 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179398 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.179401 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:35.179405 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179408 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.179411 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179414 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179419 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179423 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179426 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179429 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179433 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.179436 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179440 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179443 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179446 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.179450 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179453 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179457 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.179460 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179463 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.179467 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179470 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.179473 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179477 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179480 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.179483 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.179486 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179490 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.179493 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179496 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179500 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179503 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179508 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179511 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179515 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.179518 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179522 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179525 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179528 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.179532 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179535 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179538 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.179542 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179545 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.179548 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179552 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.179555 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179558 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179561 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.179565 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.179568 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179572 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.179575 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179578 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179582 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179586 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179589 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179592 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179596 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.179599 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179604 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179607 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179610 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.179614 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179617 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179620 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.179624 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179627 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.179631 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179634 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.179637 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179641 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179644 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.179647 511 StatsD_CodecPort.ttcn:36 match_first result: 42 08:56:35.179651 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179654 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.179657 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179661 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179664 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179668 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179671 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179675 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179678 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.179682 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179685 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179688 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179691 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.179695 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179698 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179702 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.179705 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179710 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.179713 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179716 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.179720 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179723 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179726 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.179730 511 StatsD_CodecPort.ttcn:36 match_first result: 42 08:56:35.179733 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179736 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.179740 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179743 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179747 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179750 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179754 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179757 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179761 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.179764 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179767 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179771 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179774 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.179777 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179780 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179784 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.179787 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179790 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.179794 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179797 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.179801 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179804 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179808 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.179811 511 StatsD_CodecPort.ttcn:36 match_first result: 20 08:56:35.179814 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179818 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.179821 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179825 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179829 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179833 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179836 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179840 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179844 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.179848 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179851 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179855 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179858 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.179861 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179865 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179868 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.179871 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179875 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.179878 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179881 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.179884 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179888 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179891 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.179894 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:35.179898 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179901 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.179904 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179908 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179911 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179915 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179918 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179921 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179924 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.179928 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179931 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179934 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179937 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.179941 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.179944 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179947 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.179950 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179954 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.179957 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179960 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.179963 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179967 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179971 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.179974 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:35.179978 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179981 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.179984 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.179988 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.179991 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.179994 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.179997 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180001 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180004 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.180007 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180011 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180014 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180017 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.180020 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180023 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180027 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.180030 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180033 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.180036 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180039 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.180043 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180046 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180049 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.180052 511 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:35.180056 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180059 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.180062 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180066 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180069 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.180072 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180076 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180079 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180082 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.180086 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180089 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180092 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180095 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.180098 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180102 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180105 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.180108 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180111 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.180115 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180118 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.180121 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180125 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.nacked:0|c 08:56:35.180128 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.180131 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:35.180134 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:35.180137 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.180141 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180144 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:35.180148 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.180152 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180155 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180158 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:35.180162 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.180165 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180168 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180172 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:35.180175 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.180178 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.180181 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:35.180184 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.180188 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:35.180191 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.180194 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.180198 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:35.180201 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.180204 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.180207 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:35.180211 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.180214 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.180218 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.180221 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.180224 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit } } 08:56:35.180273 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit } } } id 7 08:56:35.180283 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:35.180307 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit } } } id 7 08:56:35.180316 511 StatsD_Checker.ttcn:199 Message with id 7 was extracted from the queue of STATS. 08:56:35.180390 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E726C632E66696E616C5F626C6F636B5F726573656E743A307C630A5454434E332E6274732E302E726C632E6173732E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E6173732E6661696C65643A307C630A5454434E332E6274732E302E726C632E61636B2E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E61636B2E6661696C65643A307C630A5454434E332E6274732E302E726C632E72656C2E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E6C6174652D626C6F636B3A307C630A5454434E332E6274732E302E726C632E73656E742D64756D6D793A307C630A5454434E332E6274732E302E726C632E73656E742D636F6E74726F6C3A307C630A5454434E332E6274732E302E726C632E646C5F62797465733A307C630A5454434E332E6274732E302E726C632E646C5F7061796C6F61645F62797465733A307C630A5454434E332E6274732E302E726C632E756C5F62797465733A307C630A5454434E332E6274732E302E726C632E756C5F7061796C6F61645F62797465733A307C630A5454434E332E6274732E302E6465636F64652E6572726F72733A307C630A5454434E332E6274732E302E7362612E616C6C6F63617465643A307C630A5454434E332E6274732E302E7362612E66726565643A307C630A5454434E332E6274732E302E7362612E74696D65646F75743A307C630A5454434E332E6274732E302E6C6C632E74696D656F75743A307C630A5454434E332E6274732E302E6C6C632E64726F707065643A307C630A5454434E332E6274732E302E6C6C632E7363686564756C65643A307C630A5454434E332E6274732E302E6C6C632E646C5F62797465733A307C630A5454434E332E6274732E302E6C6C632E756C5F62797465733A307C630A5454434E332E6274732E302E7063682E72657175657374733A307C630A5454434E332E6274732E302E7063682E72657175657374732E616C72656164793A307C630A5454434E332E6274732E302E7063682E72657175657374732E74696D656F75743A307C630A5454434E332E6274732E302E726163682E72657175657374733A387C630A5454434E332E6274732E302E726163682E72657175657374732E31316269743A387C630A5454434E332E6274732E302E726163682E72657175657374732E6F6E655F70686173653A387C630A5454434E332E6274732E302E726163682E72657175657374732E74776F5F70686173653A307C630A5454434E332E6274732E302E726163682E72657175657374732E756E65787065637465643A307C63'O ("TTCN3.bts.0.rlc.final_block_resent:0|c\nTTCN3.bts.0.rlc.ass.timedout:0|c\nTTCN3.bts.0.rlc.ass.failed:0|c\nTTCN3.bts.0.rlc.ack.timedout:0|c\nTTCN3.bts.0.rlc.ack.failed:0|c\nTTCN3.bts.0.rlc.rel.timedout:0|c\nTTCN3.bts.0.rlc.late-block:0|c\nTTCN3.bts.0.rlc.sent-dummy:0|c\nTTCN3.bts.0.rlc.sent-control:0|c\nTTCN3.bts.0.rlc.dl_bytes:0|c\nTTCN3.bts.0.rlc.dl_payload_bytes:0|c\nTTCN3.bts.0.rlc.ul_bytes:0|c\nTTCN3.bts.0.rlc.ul_payload_bytes:0|c\nTTCN3.bts.0.decode.errors:0|c\nTTCN3.bts.0.sba.allocated:0|c\nTTCN3.bts.0.sba.freed:0|c\nTTCN3.bts.0.sba.timedout:0|c\nTTCN3.bts.0.llc.timeout:0|c\nTTCN3.bts.0.llc.dropped:0|c\nTTCN3.bts.0.llc.scheduled:0|c\nTTCN3.bts.0.llc.dl_bytes:0|c\nTTCN3.bts.0.llc.ul_bytes:0|c\nTTCN3.bts.0.pch.requests:0|c\nTTCN3.bts.0.pch.requests.already:0|c\nTTCN3.bts.0.pch.requests.timeout:0|c\nTTCN3.bts.0.rach.requests:8|c\nTTCN3.bts.0.rach.requests.11bit:8|c\nTTCN3.bts.0.rach.requests.one_phase:8|c\nTTCN3.bts.0.rach.requests.two_phase:0|c\nTTCN3.bts.0.rach.requests.unexpected:0|c") } id 8 08:56:35.180400 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.rlc.final_block_resent:0|c\nTTCN3.bts.0.rlc.ass.timedout:0|c\nTTCN3.bts.0.rlc.ass.failed:0|c\nTTCN3.bts.0.rlc.ack.timedout:0|c\nTTCN3.bts.0.rlc.ack.failed:0|c\nTTCN3.bts.0.rlc.rel.timedout:0|c\nTTCN3.bts.0.rlc.late-block:0|c\nTTCN3.bts.0.rlc.sent-dummy:0|c\nTTCN3.bts.0.rlc.sent-control:0|c\nTTCN3.bts.0.rlc.dl_bytes:0|c\nTTCN3.bts.0.rlc.dl_payload_bytes:0|c\nTTCN3.bts.0.rlc.ul_bytes:0|c\nTTCN3.bts.0.rlc.ul_payload_bytes:0|c\nTTCN3.bts.0.decode.errors:0|c\nTTCN3.bts.0.sba.allocated:0|c\nTTCN3.bts.0.sba.freed:0|c\nTTCN3.bts.0.sba.timedout:0|c\nTTCN3.bts.0.llc.timeout:0|c\nTTCN3.bts.0.llc.dropped:0|c\nTTCN3.bts.0.llc.scheduled:0|c\nTTCN3.bts.0.llc.dl_bytes:0|c\nTTCN3.bts.0.llc.ul_bytes:0|c\nTTCN3.bts.0.pch.requests:0|c\nTTCN3.bts.0.pch.requests.already:0|c\nTTCN3.bts.0.pch.requests.timeout:0|c\nTTCN3.bts.0.rach.requests:8|c\nTTCN3.bts.0.rach.requests.11bit:8|c\nTTCN3.bts.0.rach.requests.one_phase:8|c\nTTCN3.bts.0.rach.requests.two_phase:0|c\nTTCN3.bts.0.rach.requests.unexpected:0|c" 08:56:35.180423 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180426 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.180430 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:35.180433 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180437 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.180440 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180444 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180448 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.180452 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180455 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180459 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180462 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.180466 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180469 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180473 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180476 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.180479 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180483 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180487 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.180491 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180494 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.180497 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180501 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.180504 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180508 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180511 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.180514 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:35.180518 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180523 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.180526 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180530 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180533 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.180536 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180540 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180543 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180547 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.180550 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180553 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180557 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180560 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.180563 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180568 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180571 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.180574 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180578 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.180581 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180585 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.180588 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180591 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180596 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.180599 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.180602 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180606 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.180609 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180612 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180616 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.180619 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180622 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180626 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180629 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.180633 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180636 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180639 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180644 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.180647 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180650 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180654 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.180657 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180661 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.180664 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180667 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.180671 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180674 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180679 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.180682 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:35.180685 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180689 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.180692 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180695 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180699 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.180702 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180706 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180709 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180713 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.180716 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180719 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180723 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180728 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.180731 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180734 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180738 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.180741 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180744 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.180748 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180751 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.180754 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180758 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180762 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.180766 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.180769 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180773 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.180776 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180779 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180783 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.180786 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180790 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180793 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180796 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.180800 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180803 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180807 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180811 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.180814 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180818 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180821 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.180824 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180828 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.180831 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180835 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.180838 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180842 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180846 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.180849 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:35.180853 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180856 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.180860 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180863 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180867 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.180870 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180873 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180877 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180881 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.180884 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180887 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180891 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180895 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.180898 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180902 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180905 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.180909 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180912 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.180915 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180919 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.180922 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180926 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180929 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.180932 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.180936 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180940 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.180944 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180947 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180950 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.180954 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180957 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180961 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180964 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.180968 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.180971 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.180974 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180978 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.180981 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.180984 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180989 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.180992 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.180996 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.180999 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181003 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.181006 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181009 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181013 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.181016 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.181019 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181027 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.181031 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181034 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181038 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.181041 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181044 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181048 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181052 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.181055 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181058 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181062 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181065 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.181069 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181072 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181075 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.181079 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181083 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.181087 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181090 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.181093 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181097 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181100 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.181103 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:35.181107 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181110 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.181113 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181117 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181120 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.181125 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181128 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181131 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181135 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.181138 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181142 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181145 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181148 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.181151 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181155 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181158 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.181161 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181165 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.181168 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181173 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.181176 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181180 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181183 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.181186 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:35.181190 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181193 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.181197 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181200 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181203 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.181207 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181210 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181214 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181217 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.181221 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181224 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181227 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181232 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.181235 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181238 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181242 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.181245 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181248 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.181252 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181255 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.181258 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181262 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181265 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.181268 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.181272 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181276 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.181280 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181283 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181287 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.181290 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181293 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181297 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181300 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.181304 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181307 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181310 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181314 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.181317 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181320 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181324 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.181327 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181332 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.181335 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181338 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.181342 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181345 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181349 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.181352 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:35.181355 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181359 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.181362 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181365 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181369 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.181372 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181376 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181379 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181384 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.181388 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181391 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181395 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181398 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.181401 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181405 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181408 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.181412 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181415 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.181418 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181422 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.181425 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181428 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181433 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.181436 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.181440 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181443 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.181446 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181450 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181453 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.181457 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181460 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181463 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181467 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.181470 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181474 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181477 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181480 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.181484 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181487 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181490 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.181494 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181498 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.181502 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181505 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.181509 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181512 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181515 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.181519 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:35.181522 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181525 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.181527 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.181529 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181532 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181536 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.181539 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181543 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181546 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181550 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.181551 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.181555 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181558 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181561 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181565 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.181568 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181571 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181575 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.181578 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181581 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.181585 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181588 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.181591 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181595 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181598 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.181601 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:35.181605 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181640 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.181643 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181647 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181650 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.181654 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181657 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181660 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181664 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.181667 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181671 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181674 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181677 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.181681 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181684 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181687 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.181691 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181694 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.181697 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181702 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.181705 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181709 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181712 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.181715 511 StatsD_CodecPort.ttcn:36 match_first result: 21 08:56:35.181719 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181722 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.181725 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181729 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181732 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.181736 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181739 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181742 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181746 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.181749 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181753 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181756 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181759 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.181763 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181766 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181770 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.181774 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181777 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.181780 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181784 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.181787 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181791 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181794 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.181797 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:35.181801 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181804 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.181807 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181811 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181814 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.181818 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181821 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181825 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181828 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.181832 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181835 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181839 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181843 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.181846 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181850 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181853 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.181856 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181860 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.181863 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181866 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.181870 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181873 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181876 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.181879 511 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:35.181883 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181886 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.181889 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181893 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181897 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.181901 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181904 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181907 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181911 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.181914 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181917 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181921 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181924 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.181927 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181930 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181934 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.181937 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181940 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.181943 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181947 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.181950 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181953 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181957 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.181960 511 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:35.181963 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181968 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.181971 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.181975 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181978 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.181981 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181984 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.181988 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.181991 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.181995 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.181998 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182001 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182004 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182008 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182011 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182014 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.182017 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182021 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.182024 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182028 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.182031 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182035 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182038 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.182043 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:35.182046 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182050 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.182053 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182057 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182060 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.182064 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182067 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182071 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182074 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.182077 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182081 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182084 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182087 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182091 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182094 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182097 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.182100 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182104 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.182107 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182111 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.182114 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182117 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182123 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.182126 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:35.182129 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182133 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.182136 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182140 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182143 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.182147 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182150 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182154 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182157 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.182160 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182164 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182167 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182170 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182174 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182177 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182180 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.182183 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182187 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.182190 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182193 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.182197 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182200 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182204 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.182208 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:35.182211 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182214 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.182218 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182221 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182225 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.182229 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182233 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182236 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182240 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.182243 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182246 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182250 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182253 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182256 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182260 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182263 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.182266 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182270 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.182273 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182276 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.182280 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182283 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182286 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.182291 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:35.182294 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182299 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.182302 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182306 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182309 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.182312 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182316 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182319 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182322 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.182326 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182329 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182332 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182336 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182339 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182342 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182346 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.182350 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182353 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.182356 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182360 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.182363 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182366 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182370 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.182374 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.182377 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182381 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.182386 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182389 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182392 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.182396 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182399 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182402 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182406 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.182409 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182412 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182416 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182419 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182422 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182425 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182428 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.182432 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182435 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.182438 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182442 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.182445 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182448 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182451 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.182455 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.182458 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182461 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.182464 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182468 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182471 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.182474 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182478 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182481 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182484 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.182489 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182492 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182495 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182499 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182502 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182505 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182508 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.182512 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182515 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.182518 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182521 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.182525 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182528 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182531 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.182535 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:35.182538 511 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182541 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.182544 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182548 511 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182551 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.182554 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182557 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182561 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182564 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.182568 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182571 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182574 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182577 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182580 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182584 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182587 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.182590 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182594 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.182597 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182601 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.182605 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182608 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182611 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.182614 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.182617 511 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182621 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.182624 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182627 511 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182631 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.182634 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182637 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182641 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182644 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.182648 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182651 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182654 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182657 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182660 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182664 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182667 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.182670 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182674 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.182677 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182680 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.182684 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182687 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182690 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.182694 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.182697 511 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182701 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.182704 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182707 511 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182711 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.182714 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182718 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182721 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182724 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.182728 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182731 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182735 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182738 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182742 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182745 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182748 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.182752 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182755 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.182758 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182761 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.182765 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182768 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182771 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.182775 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.182778 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182781 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.182784 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182788 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182791 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.182795 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182798 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182801 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182804 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.182808 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182811 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182814 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182818 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182821 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182824 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182828 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.182831 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182834 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.182837 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182841 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.182844 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182847 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:35.182850 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.182854 511 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:35.182857 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:35.182860 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.182863 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182867 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:35.182870 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.182874 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182877 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.182880 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:35.182883 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.182887 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.182890 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.182893 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:35.182897 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182900 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.182903 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:35.182907 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.182911 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:35.182914 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.182917 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.182921 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:35.182924 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.182927 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.182930 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:35.182934 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.182937 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.182940 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.182944 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.182947 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } } 08:56:35.182995 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } } } id 8 08:56:35.183005 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:35.183028 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } } } id 8 08:56:35.183037 511 StatsD_Checker.ttcn:199 Message with id 8 was extracted from the queue of STATS. 08:56:35.183101 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 } 08:56:35.183122 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 } 08:56:35.183133 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 } 08:56:35.183143 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 } 08:56:35.183152 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 } 08:56:35.183213 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E7370622E75706C696E6B5F66697273745F7365676D656E743A307C630A5454434E332E6274732E302E7370622E75706C696E6B5F7365636F6E645F7365676D656E743A307C630A5454434E332E6274732E302E7370622E646F776E6C696E6B5F66697273745F7365676D656E743A307C630A5454434E332E6274732E302E7370622E646F776E6C696E6B5F7365636F6E645F7365676D656E743A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F554C3A377C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E6F6E655F70686173653A377C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E74776F5F70686173653A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E636F6E74656E74696F6E5F7265736F6C7574696F6E5F737563636573733A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F72656A3A317C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F444C3A307C630A5454434E332E6274732E302E6368616E6E656C2E726571756573745F6465736372697074696F6E3A307C630A5454434E332E6274732E302E706B742E756C5F61737369676E6D656E743A307C630A5454434E332E6274732E302E706B742E6163636573735F72656A6563743A307C630A5454434E332E6274732E302E706B742E646C5F61737369676E6D656E743A307C630A5454434E332E6274732E302E706B742E63656C6C5F6368675F6E6F74696669636174696F6E3A307C630A5454434E332E6274732E302E706B742E63656C6C5F6368675F636F6E74696E75653A307C630A5454434E332E6274732E302E706B742E6E656967685F63656C6C5F646174613A307C630A5454434E332E6274732E302E756C2E636F6E74726F6C3A307C630A5454434E332E6274732E302E756C2E61737369676E6D656E745F706F6C6C5F74696D656F75743A307C630A5454434E332E6274732E302E756C2E61737369676E6D656E745F6661696C65643A307C630A5454434E332E6274732E302E646C2E61737369676E6D656E745F74696D656F75743A307C630A5454434E332E6274732E302E646C2E61737369676E6D656E745F6661696C65643A307C630A5454434E332E6274732E302E706B742E756C5F61636B5F6E61636B5F74696D656F75743A307C630A5454434E332E6274732E302E706B742E756C5F61636B5F6E61636B5F6661696C65643A307C63'O ("TTCN3.bts.0.spb.uplink_first_segment:0|c\nTTCN3.bts.0.spb.uplink_second_segment:0|c\nTTCN3.bts.0.spb.downlink_first_segment:0|c\nTTCN3.bts.0.spb.downlink_second_segment:0|c\nTTCN3.bts.0.immediate.assignment_UL:7|c\nTTCN3.bts.0.immediate.assignment_ul.one_phase:7|c\nTTCN3.bts.0.immediate.assignment_ul.two_phase:0|c\nTTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c\nTTCN3.bts.0.immediate.assignment_rej:1|c\nTTCN3.bts.0.immediate.assignment_DL:0|c\nTTCN3.bts.0.channel.request_description:0|c\nTTCN3.bts.0.pkt.ul_assignment:0|c\nTTCN3.bts.0.pkt.access_reject:0|c\nTTCN3.bts.0.pkt.dl_assignment:0|c\nTTCN3.bts.0.pkt.cell_chg_notification:0|c\nTTCN3.bts.0.pkt.cell_chg_continue:0|c\nTTCN3.bts.0.pkt.neigh_cell_data:0|c\nTTCN3.bts.0.ul.control:0|c\nTTCN3.bts.0.ul.assignment_poll_timeout:0|c\nTTCN3.bts.0.ul.assignment_failed:0|c\nTTCN3.bts.0.dl.assignment_timeout:0|c\nTTCN3.bts.0.dl.assignment_failed:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_failed:0|c") } id 9 08:56:35.183225 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.spb.uplink_first_segment:0|c\nTTCN3.bts.0.spb.uplink_second_segment:0|c\nTTCN3.bts.0.spb.downlink_first_segment:0|c\nTTCN3.bts.0.spb.downlink_second_segment:0|c\nTTCN3.bts.0.immediate.assignment_UL:7|c\nTTCN3.bts.0.immediate.assignment_ul.one_phase:7|c\nTTCN3.bts.0.immediate.assignment_ul.two_phase:0|c\nTTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c\nTTCN3.bts.0.immediate.assignment_rej:1|c\nTTCN3.bts.0.immediate.assignment_DL:0|c\nTTCN3.bts.0.channel.request_description:0|c\nTTCN3.bts.0.pkt.ul_assignment:0|c\nTTCN3.bts.0.pkt.access_reject:0|c\nTTCN3.bts.0.pkt.dl_assignment:0|c\nTTCN3.bts.0.pkt.cell_chg_notification:0|c\nTTCN3.bts.0.pkt.cell_chg_continue:0|c\nTTCN3.bts.0.pkt.neigh_cell_data:0|c\nTTCN3.bts.0.ul.control:0|c\nTTCN3.bts.0.ul.assignment_poll_timeout:0|c\nTTCN3.bts.0.ul.assignment_failed:0|c\nTTCN3.bts.0.dl.assignment_timeout:0|c\nTTCN3.bts.0.dl.assignment_failed:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_failed:0|c" 08:56:35.183250 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183253 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.183257 511 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:35.183260 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183265 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.183268 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183272 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183276 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.183279 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183283 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183287 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183290 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.183294 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183297 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183300 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183304 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.183307 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183310 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183316 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.183319 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183323 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.183326 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183330 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.183333 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183336 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183341 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.183344 511 StatsD_CodecPort.ttcn:36 match_first result: 37 08:56:35.183347 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183351 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.183354 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183358 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183361 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.183364 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183368 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183371 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183375 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.183378 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183382 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183385 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183389 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.183393 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183396 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183399 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.183403 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183406 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.183409 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183413 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.183416 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183419 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183424 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.183427 511 StatsD_CodecPort.ttcn:36 match_first result: 38 08:56:35.183431 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183434 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.183437 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183441 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183444 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.183448 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183451 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183454 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183458 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.183462 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183465 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183468 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183473 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.183476 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183479 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183483 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.183486 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183490 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.183493 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183496 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.183500 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183503 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183508 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.183511 511 StatsD_CodecPort.ttcn:36 match_first result: 39 08:56:35.183515 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183518 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.183521 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183525 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183528 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.183532 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183535 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183539 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183542 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.183546 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183549 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183554 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183557 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.183560 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183564 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183567 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.183570 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183574 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.183577 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183581 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.183584 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183587 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183592 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.183595 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.183598 511 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183602 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.183605 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183609 511 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183612 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.183615 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183619 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183622 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183626 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.183630 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183633 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183636 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183641 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.183644 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183648 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183651 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.183654 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183658 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.183661 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183665 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.183668 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183672 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183676 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.183680 511 StatsD_CodecPort.ttcn:36 match_first result: 45 08:56:35.183683 511 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183687 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.183690 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183693 511 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183697 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.183701 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183705 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183708 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183712 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.183715 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183719 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183722 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183725 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.183729 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183733 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183737 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.183740 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183744 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.183747 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183750 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.183754 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183757 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183761 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.183764 511 StatsD_CodecPort.ttcn:36 match_first result: 45 08:56:35.183767 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183772 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.183775 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183779 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183782 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.183786 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183789 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183793 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183796 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.183800 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183803 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183806 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183810 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.183813 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183817 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183820 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.183823 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183828 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.183832 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183835 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.183838 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183842 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183845 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.183849 511 StatsD_CodecPort.ttcn:36 match_first result: 65 08:56:35.183852 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183855 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.183859 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183862 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183866 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.183869 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183873 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183876 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183881 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.183884 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183888 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183891 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183895 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.183898 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183901 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183905 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.183908 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183912 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.183915 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183919 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.183922 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183925 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183930 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.183934 511 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:35.183937 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183941 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.183944 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183948 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183951 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.183955 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183958 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183962 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183965 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.183969 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.183972 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.183975 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183979 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.183982 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.183985 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183991 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.183994 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.183998 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184001 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184004 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184008 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184011 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184014 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184018 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.184021 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184025 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.184028 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184032 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184035 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.184039 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184042 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184046 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184051 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.184054 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184057 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184061 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184064 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.184067 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184071 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184074 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.184077 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184081 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184084 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184088 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184091 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184094 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184098 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184101 511 StatsD_CodecPort.ttcn:36 match_first result: 39 08:56:35.184106 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184109 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.184113 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184116 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184120 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.184123 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184127 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184130 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184134 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.184137 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184140 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184144 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184147 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.184151 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184154 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184157 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.184161 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184164 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184167 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184172 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184175 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184179 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184182 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184185 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.184189 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184192 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.184195 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184199 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184202 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.184206 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184209 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184213 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184216 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.184220 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184223 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184226 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184229 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.184233 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184236 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184240 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.184244 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184247 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184251 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184254 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184257 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184261 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184264 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184267 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.184271 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184274 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.184278 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184281 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184285 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.184288 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184292 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184295 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184298 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.184303 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184307 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184310 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184313 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.184317 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184320 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184323 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.184326 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184330 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184333 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184336 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184340 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184343 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184346 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184350 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.184353 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184356 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.184360 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184363 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184366 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.184371 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184375 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184378 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184382 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.184385 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184389 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184392 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184395 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.184399 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184402 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184406 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.184410 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184414 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184417 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184420 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184424 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184427 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184430 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184434 511 StatsD_CodecPort.ttcn:36 match_first result: 37 08:56:35.184437 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184440 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.184444 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184447 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184452 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.184455 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184459 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184462 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184466 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.184469 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184472 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184476 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184479 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.184482 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184485 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184489 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.184492 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184495 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184499 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184502 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184505 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184508 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184512 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184515 511 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:35.184518 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184521 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.184525 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184529 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184532 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.184536 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184539 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184543 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184546 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.184549 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184552 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184556 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184559 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.184562 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184565 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184569 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.184572 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184575 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184579 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184582 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184585 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184588 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184592 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184595 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.184598 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184601 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.184605 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184608 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184612 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.184616 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184619 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184623 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184626 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.184629 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184633 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184636 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184639 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.184642 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184645 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184649 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.184652 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184655 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184659 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184662 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184665 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184668 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184672 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184675 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:35.184678 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184681 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.184685 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184688 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184691 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.184695 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184698 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184702 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184706 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.184710 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184713 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184716 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184719 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.184723 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184726 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184729 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.184732 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184736 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184739 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184742 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184746 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184749 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184752 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184755 511 StatsD_CodecPort.ttcn:36 match_first result: 38 08:56:35.184758 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184762 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.184765 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184768 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184772 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.184775 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184778 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184782 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184785 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.184789 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184792 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184795 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184798 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.184801 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184805 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184809 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.184813 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184816 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184819 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184822 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184826 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184829 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184832 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184836 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.184839 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184842 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.184845 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184849 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184852 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.184856 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184859 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184862 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184865 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.184869 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184872 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184875 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184878 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.184882 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184885 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184888 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.184891 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184895 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184898 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184901 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184905 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184908 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184911 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184915 511 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:35.184918 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184922 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.184926 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184929 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184933 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.184936 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184940 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184943 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184946 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.184950 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.184953 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184957 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184960 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.184963 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.184966 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184970 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.184973 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184976 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.184980 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184983 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.184986 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.184990 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.184993 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.184996 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:35.184999 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185003 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.185006 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185010 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185013 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.185017 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185020 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185023 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185027 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.185030 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185033 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185037 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185040 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.185043 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185046 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185050 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.185053 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185057 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.185061 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185065 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.185068 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185071 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185075 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.185078 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.185081 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185086 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.185089 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185093 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185096 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.185099 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185102 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185106 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185109 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.185113 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185116 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185119 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185122 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.185126 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185129 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185132 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.185136 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185139 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.185142 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185145 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.185149 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185152 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:35.185155 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.185159 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:35.185162 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:35.185165 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.185168 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185172 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:35.185175 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.185178 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185182 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185185 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:35.185188 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.185192 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185195 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185198 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:35.185201 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.185205 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.185208 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:35.185211 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.185214 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:35.185217 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.185221 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.185224 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:35.185227 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.185231 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.185235 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:35.185239 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.185242 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.185245 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.185249 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.185253 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit } } 08:56:35.185302 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit } } } id 9 08:56:35.185311 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:35.185334 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit } } } id 9 08:56:35.185341 511 StatsD_Checker.ttcn:199 Message with id 9 was extracted from the queue of STATS. 08:56:35.185352 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 } 08:56:35.185363 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 } 08:56:35.185373 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 } 08:56:35.185383 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 } 08:56:35.185397 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 } 08:56:35.185407 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } 08:56:35.185441 511 StatsD_Checker.ttcn:244 Stop timer T_statsd: 5 s 08:56:35.185476 511 StatsD_Checker.ttcn:126 Replied on STATSD_PROC to mtc @StatsD_Checker.STATSD_expect : { } value true 08:56:35.185525 511 StatsD_Checker.ttcn:119 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E706B742E646C5F61636B5F6E61636B5F74696D656F75743A307C630A5454434E332E6274732E302E706B742E646C5F61636B5F6E61636B5F6661696C65643A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373313A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373323A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373333A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373343A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373313A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373323A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373333A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373343A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373353A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373363A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373373A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373383A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373393A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373313A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373323A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373333A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373343A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373313A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373323A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373333A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373343A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373353A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373363A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373373A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373383A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373393A307C63'O ("TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_failed:0|c\nTTCN3.bts.0.gprs.downlink_cs1:0|c\nTTCN3.bts.0.gprs.downlink_cs2:0|c\nTTCN3.bts.0.gprs.downlink_cs3:0|c\nTTCN3.bts.0.gprs.downlink_cs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs1:0|c\nTTCN3.bts.0.egprs.downlink_mcs2:0|c\nTTCN3.bts.0.egprs.downlink_mcs3:0|c\nTTCN3.bts.0.egprs.downlink_mcs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs5:0|c\nTTCN3.bts.0.egprs.downlink_mcs6:0|c\nTTCN3.bts.0.egprs.downlink_mcs7:0|c\nTTCN3.bts.0.egprs.downlink_mcs8:0|c\nTTCN3.bts.0.egprs.downlink_mcs9:0|c\nTTCN3.bts.0.gprs.uplink_cs1:0|c\nTTCN3.bts.0.gprs.uplink_cs2:0|c\nTTCN3.bts.0.gprs.uplink_cs3:0|c\nTTCN3.bts.0.gprs.uplink_cs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs1:0|c\nTTCN3.bts.0.egprs.uplink_mcs2:0|c\nTTCN3.bts.0.egprs.uplink_mcs3:0|c\nTTCN3.bts.0.egprs.uplink_mcs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs5:0|c\nTTCN3.bts.0.egprs.uplink_mcs6:0|c\nTTCN3.bts.0.egprs.uplink_mcs7:0|c\nTTCN3.bts.0.egprs.uplink_mcs8:0|c\nTTCN3.bts.0.egprs.uplink_mcs9:0|c") } id 10 08:56:35.185535 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_failed:0|c\nTTCN3.bts.0.gprs.downlink_cs1:0|c\nTTCN3.bts.0.gprs.downlink_cs2:0|c\nTTCN3.bts.0.gprs.downlink_cs3:0|c\nTTCN3.bts.0.gprs.downlink_cs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs1:0|c\nTTCN3.bts.0.egprs.downlink_mcs2:0|c\nTTCN3.bts.0.egprs.downlink_mcs3:0|c\nTTCN3.bts.0.egprs.downlink_mcs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs5:0|c\nTTCN3.bts.0.egprs.downlink_mcs6:0|c\nTTCN3.bts.0.egprs.downlink_mcs7:0|c\nTTCN3.bts.0.egprs.downlink_mcs8:0|c\nTTCN3.bts.0.egprs.downlink_mcs9:0|c\nTTCN3.bts.0.gprs.uplink_cs1:0|c\nTTCN3.bts.0.gprs.uplink_cs2:0|c\nTTCN3.bts.0.gprs.uplink_cs3:0|c\nTTCN3.bts.0.gprs.uplink_cs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs1:0|c\nTTCN3.bts.0.egprs.uplink_mcs2:0|c\nTTCN3.bts.0.egprs.uplink_mcs3:0|c\nTTCN3.bts.0.egprs.uplink_mcs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs5:0|c\nTTCN3.bts.0.egprs.uplink_mcs6:0|c\nTTCN3.bts.0.egprs.uplink_mcs7:0|c\nTTCN3.bts.0.egprs.uplink_mcs8:0|c\nTTCN3.bts.0.egprs.uplink_mcs9:0|c" 08:56:35.185548 mtc StatsD_Checker.ttcn:274 Reply enqueued on STATSD_PROC from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511) @StatsD_Checker.STATSD_expect : { } value true id 2 08:56:35.185560 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185564 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.185567 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:35.185571 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185574 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.185574 mtc StatsD_Checker.ttcn:275 Matching on port STATSD_PROC succeeded: { } with { } matched value true with ? matched 08:56:35.185577 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185581 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185581 mtc StatsD_Checker.ttcn:275 Getreply operation on port STATSD_PROC succeeded, reply from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511): @StatsD_Checker.STATSD_expect : { } value true id 1 08:56:35.185586 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.185590 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185591 mtc StatsD_Checker.ttcn:275 Operation with id 2 was extracted from the queue of STATSD_PROC. 08:56:35.185594 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185597 mtc GPRS_Components.ttcn:215 setverdict(pass): pass -> pass, component reason not changed 08:56:35.185598 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185602 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.185605 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185606 mtc GPRS_Components.ttcn:219 Sent on BTS to BTS(510) @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } 08:56:35.185609 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185612 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185616 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.185619 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185623 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185626 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.185630 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185634 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.185638 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185641 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.185645 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185648 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185652 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.185655 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:35.185659 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185662 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.185666 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185671 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185674 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.185678 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185682 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185684 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } id 9 08:56:35.185686 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185689 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.185693 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185697 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185700 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185704 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.185707 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185710 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185715 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.185718 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185721 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.185725 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185728 510 PCUIF_Components.ttcn:335 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:35.185728 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.185731 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185735 510 PCUIF_Components.ttcn:343 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:35.185735 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185738 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.185740 510 PCUIF_Components.ttcn:347 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:35.185742 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.185744 510 PCUIF_Components.ttcn:352 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:35.185746 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185751 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.185754 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185755 510 PCUIF_Components.ttcn:605 Matching on port TC succeeded: matched 08:56:35.185757 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185761 510 PCUIF_Components.ttcn:605 Receive operation on port TC succeeded, message from mtc: @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } id 9 08:56:35.185761 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.185765 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185767 510 PCUIF_Components.ttcn:605 Message with id 9 was extracted from the queue of TC. 08:56:35.185768 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185771 510 PCUIF_Components.ttcn:606 Shutting down virtual BTS #0... 08:56:35.185772 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185776 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.185780 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185783 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185786 510 PCUIF_Components.ttcn:607 Stopping PTC with component reference 512. 08:56:35.185786 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185790 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.185794 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185797 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185807 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.185811 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185814 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.185818 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185821 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.185825 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185828 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185832 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.185835 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.185839 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185844 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.185847 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185852 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185855 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.185859 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185862 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185866 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185869 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.185873 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185876 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185879 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185883 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.185886 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185889 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185894 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.185897 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185901 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.185904 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185907 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.185911 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185914 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185917 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.185921 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.185924 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185929 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.185932 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185935 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185939 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.185942 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185946 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185949 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185953 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.185956 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.185959 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185963 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185966 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.185969 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.185973 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185978 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.185981 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185985 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.185988 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.185991 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.185995 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.185998 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186001 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.186005 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.186008 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186014 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.186017 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186021 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186024 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.186027 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186031 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186034 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186038 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.186041 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186044 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186048 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186051 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.186054 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186058 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186062 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.186065 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186069 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.186072 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186075 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.186079 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186082 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186085 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.186089 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.186092 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186095 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.186099 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186102 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186107 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.186110 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186114 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186117 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186121 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.186124 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186127 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186131 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186134 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.186137 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186141 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186144 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.186147 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186152 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.186155 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186158 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.186162 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186165 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186168 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.186171 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.186171 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:35.186175 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186178 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.186182 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186185 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186189 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.186192 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186195 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:35.186196 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186200 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186205 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.186208 512 PCUIF_Components.ttcn:256 Stop was requested from MC. 08:56:35.186209 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186213 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186214 512 PCUIF_Components.ttcn:256 Stopping test component execution. 08:56:35.186216 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186220 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.186223 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186226 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186229 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.186233 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186236 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.186239 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186243 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.186246 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186249 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186254 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.186257 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.186261 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186264 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.186267 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186271 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186274 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.186277 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186281 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186284 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186288 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.186291 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186294 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186304 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186309 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.186312 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186316 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186319 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.186322 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186326 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.186329 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186333 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.186336 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186340 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186343 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.186346 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.186350 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186355 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.186358 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186362 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186365 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.186365 512 - Function f_ClckGen_CT_handler was stopped. PTC remains alive and is waiting for next start. 08:56:35.186369 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186373 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186377 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186380 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.186384 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186388 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186391 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186395 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.186398 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186401 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186405 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.186408 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186413 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.186416 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186419 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.186423 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186426 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186429 510 PCUIF_Components.ttcn:607 PTC with component reference 512 was stopped. 08:56:35.186429 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.186433 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.186436 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186440 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.186443 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186447 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186450 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.186454 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186457 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186460 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186464 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.186467 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186475 510 - Function f_BTS_CT_handler finished. PTC remains alive and is waiting for next start. 08:56:35.186501 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186505 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186509 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.186512 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186515 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186519 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.186522 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186525 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.186529 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186532 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.186535 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186539 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186539 mtc GPRS_Components.ttcn:220 PTC with component reference 510 is done. 08:56:35.186542 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.186545 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.186549 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186554 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.186558 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186560 mtc GPRS_Components.ttcn:223 Stopping all components. 08:56:35.186561 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186565 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.186568 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186572 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186575 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186579 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.186582 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186585 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186589 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186592 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.186595 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186598 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186602 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.186605 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186609 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.186614 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186617 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.186619 509 PCUIF_Components.ttcn:653 Stop was requested from MC. 08:56:35.186621 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186624 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186627 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.186631 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.186634 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186638 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.186639 509 PCUIF_Components.ttcn:653 Stopping test component execution. 08:56:35.186641 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186645 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186648 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.186652 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186655 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186659 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186662 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.186665 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186669 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186672 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186677 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.186680 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186683 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186687 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.186690 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186693 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.186696 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186700 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.186703 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186706 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186710 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.186713 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.186716 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186720 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.186723 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186727 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186731 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.186735 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186738 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186741 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186745 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.186748 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186752 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186755 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186756 509 - Function f_PCUIF_CT_handler was stopped. PTC remains alive and is waiting for next start. 08:56:35.186758 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.186762 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186765 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186768 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.186772 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186775 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.186778 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186782 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.186785 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186788 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186792 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.186797 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.186800 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186804 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.186808 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186811 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186815 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.186818 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186822 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186825 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186828 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.186832 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186835 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186838 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186841 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.186845 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186848 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186851 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.186854 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186858 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.186861 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186866 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.186869 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186872 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186875 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.186879 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:35.186882 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186885 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.186888 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186892 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186895 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.186898 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186901 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186905 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186908 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.186911 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186914 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186918 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186921 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.186924 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186927 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186930 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.186935 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186938 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.186942 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186945 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.186948 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186951 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186954 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.186957 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:35.186961 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186964 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.186967 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186970 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186973 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.186977 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186980 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.186983 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186986 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.186990 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.186993 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.186996 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.186999 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187002 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187007 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187010 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.187013 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187016 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.187019 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187023 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.187026 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187029 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187032 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.187035 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:35.187038 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187042 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.187045 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187048 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187051 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.187055 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187058 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187061 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187064 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.187068 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187071 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187074 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187078 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187081 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187085 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187088 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.187091 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187094 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.187097 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187100 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.187104 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187107 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187110 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.187113 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:35.187116 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187119 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.187123 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187126 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187129 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.187132 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187135 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187139 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187142 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.187145 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187149 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187152 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187156 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187159 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187163 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187166 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.187169 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187172 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.187175 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187179 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.187182 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187185 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187189 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.187192 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.187195 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187198 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.187202 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187205 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187208 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.187212 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187215 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187219 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187222 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.187226 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187229 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187232 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187236 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187240 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187243 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187247 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.187250 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187253 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.187256 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187260 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.187263 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187266 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187270 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.187273 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.187277 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187280 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.187283 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187287 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187290 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.187294 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187297 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187301 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187304 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.187308 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187311 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187314 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187318 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187321 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187324 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187329 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.187332 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187337 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.187340 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187343 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.187347 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187350 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187353 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.187356 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.187360 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187363 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.187366 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187370 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187373 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.187377 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187380 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187383 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187386 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.187390 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187393 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187396 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187401 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187405 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187408 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187411 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.187415 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187418 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.187421 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187424 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.187428 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187431 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187435 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.187438 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.187442 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187445 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.187448 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187451 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187455 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.187458 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187461 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187465 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187468 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.187471 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187475 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187478 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187481 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187484 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187487 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187490 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.187494 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187497 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.187500 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187503 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.187506 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187509 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187513 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.187516 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.187519 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187522 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.187525 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187528 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187532 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.187535 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187538 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187542 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187545 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.187550 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187553 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187556 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187559 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187562 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187566 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187569 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.187572 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187575 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.187578 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187582 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.187585 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187588 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187591 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.187595 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.187598 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187601 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.187604 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187608 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187611 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.187614 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187618 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187621 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187624 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.187629 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187632 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187635 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187638 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187642 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187645 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187648 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.187651 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187654 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.187658 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187661 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.187664 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187667 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187670 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.187673 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.187677 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187681 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.187684 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187687 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187690 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.187694 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187697 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187700 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187703 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.187707 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187710 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187713 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187716 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187719 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187723 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187726 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.187729 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187732 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.187735 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187739 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.187742 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187745 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187748 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.187751 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.187754 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187757 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.187761 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187764 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187767 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.187770 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187774 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187777 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187780 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.187783 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187787 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187790 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187793 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187796 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187799 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187802 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.187805 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187808 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.187811 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187814 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.187818 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187821 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:35.187824 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.187827 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:35.187830 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:35.187833 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.187836 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187840 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:35.187844 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.187847 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187850 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.187854 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:35.187857 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.187860 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.187863 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.187866 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:35.187869 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187873 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.187876 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:35.187879 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.187882 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:35.187885 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.187888 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.187892 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:35.187895 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.187898 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.187901 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:35.187905 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.187908 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.187911 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.187914 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.187917 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.pkt.dl_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs9", val := 0, mtype := "c", srate := omit } } 08:56:35.187966 511 StatsD_Checker.ttcn:119 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.pkt.dl_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs9", val := 0, mtype := "c", srate := omit } } } id 10 08:56:35.187988 511 StatsD_Checker.ttcn:119 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3232395F31302E32333030302E616C6976652E64656C61793A307C670A5454434E332E6E732E62696E642E302E74785F6261636B6C6F675F6C656E6774683A307C670A5454434E332E6274732E302E6D732E70726573656E743A387C670A5454434E332E6274732E302E706463682E617661696C61626C653A317C670A5454434E332E6274732E302E706463682E6F636375706965643A317C670A5454434E332E6274732E302E706463682E6F636375706965642E677072733A307C670A5454434E332E6274732E302E706463682E6F636375706965642E65677072733A317C67'O ("TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.alive.delay:0|g\nTTCN3.ns.bind.0.tx_backlog_length:0|g\nTTCN3.bts.0.ms.present:8|g\nTTCN3.bts.0.pdch.available:1|g\nTTCN3.bts.0.pdch.occupied:1|g\nTTCN3.bts.0.pdch.occupied.gprs:0|g\nTTCN3.bts.0.pdch.occupied.egprs:1|g") } id 11 08:56:35.187994 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.alive.delay:0|g\nTTCN3.ns.bind.0.tx_backlog_length:0|g\nTTCN3.bts.0.ms.present:8|g\nTTCN3.bts.0.pdch.available:1|g\nTTCN3.bts.0.pdch.occupied:1|g\nTTCN3.bts.0.pdch.occupied.gprs:0|g\nTTCN3.bts.0.pdch.occupied.egprs:1|g" 08:56:35.188003 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.alive.delay:0|g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188008 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.188011 511 StatsD_CodecPort.ttcn:36 match_first result: 77 08:56:35.188015 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188018 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.188021 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188025 511 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188028 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.188031 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188035 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188038 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188041 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.188045 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188048 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188051 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188054 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.188058 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188061 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188064 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.188067 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188070 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.188073 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188076 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.188080 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188083 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188086 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.188089 511 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:35.188092 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188095 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.188099 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188102 511 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188105 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.188110 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188114 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188117 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188120 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.188124 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188127 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188130 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188133 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.188136 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188139 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188142 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.188145 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188148 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.188151 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188155 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.188158 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188161 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188164 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.188167 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:35.188170 511 StatsD_CodecPort.ttcn:36 match_begin data: :8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188173 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.188176 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188180 511 StatsD_CodecPort.ttcn:36 match_first data: 8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188183 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.188186 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188189 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188193 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188196 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.188199 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188202 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188206 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188209 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.188212 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188215 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188218 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.188221 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188226 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.188229 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188232 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.188235 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188238 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188241 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.188245 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:35.188248 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188251 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.188254 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188257 511 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188260 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.188264 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188267 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188270 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188274 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.188277 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188280 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188283 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188286 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.188290 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188293 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188296 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.188299 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188302 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.188305 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188308 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.188312 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188315 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188318 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.188321 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:35.188324 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188327 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.188330 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188334 511 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188337 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.188340 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188343 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188347 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188350 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.188353 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188356 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188359 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188363 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.188367 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188370 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188373 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.188376 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188379 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.188382 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188385 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.188389 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188392 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188395 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.188398 511 StatsD_CodecPort.ttcn:36 match_first result: 30 08:56:35.188401 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188404 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.188408 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188411 511 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188414 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.188418 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188421 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188424 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188427 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.188431 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188434 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188437 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188440 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.188443 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188446 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188449 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:35.188453 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188456 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:35.188459 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188462 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.188466 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188469 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:35.188472 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:35.188475 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:35.188479 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|g 08:56:35.188482 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:35.188485 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188488 511 StatsD_CodecPort.ttcn:36 match_first data: 1|g 08:56:35.188491 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:35.188495 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188498 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:35.188501 511 StatsD_CodecPort.ttcn:36 match_begin data: |g 08:56:35.188504 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:35.188508 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:35.188511 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:35.188514 511 StatsD_CodecPort.ttcn:36 match_first data: g 08:56:35.188517 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.188520 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.188524 511 StatsD_CodecPort.ttcn:36 match_list data: g 08:56:35.188527 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.188530 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:35.188533 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:35.188536 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:35.188540 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:35.188543 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:35.188547 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.188550 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:35.188553 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.188556 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:35.188560 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:35.188563 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:35.188566 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.alive.delay", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.ns.bind.0.tx_backlog_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ms.present", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.available", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.gprs", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.egprs", val := 1, mtype := "g", srate := omit } } 08:56:35.188584 511 StatsD_Checker.ttcn:119 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.229.101", remPort := 39759, locName := "172.18.229.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_229_10.23000.alive.delay", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.ns.bind.0.tx_backlog_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ms.present", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.available", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.gprs", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.egprs", val := 1, mtype := "g", srate := omit } } } id 11 08:56:35.188592 511 StatsD_Checker.ttcn:119 Kill was requested from MC. 08:56:35.188596 511 StatsD_Checker.ttcn:119 Terminating test component execution. 08:56:35.188705 511 - Function main was stopped. PTC terminates. 08:56:35.188717 511 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 08:56:35.188721 511 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 08:56:35.188753 511 - Port STATSVTY was unmapped from system:STATSVTY. 08:56:35.188759 511 - Port STATSVTY was stopped. 08:56:35.188763 511 - Removing unterminated connection between port STATSD_PROC and mtc:STATSD_PROC. 08:56:35.188771 511 - Port STATSD_PROC was stopped. 08:56:35.188774 511 - Removing unterminated mapping between port STATS and system:STATS. 08:56:35.188819 mtc GPRS_Components.ttcn:223 Connection of port STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC was closed unexpectedly by the peer. 08:56:35.188840 mtc GPRS_Components.ttcn:223 Port STATSD_PROC was disconnected from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC. 08:56:35.188869 511 - Port STATS was unmapped from system:STATS. 08:56:35.188876 511 - Message with id 10 was extracted from the queue of STATS. 08:56:35.188880 511 - Message with id 11 was extracted from the queue of STATS. 08:56:35.188883 511 - Port STATS was stopped. 08:56:35.188887 511 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:35.188892 511 - Final verdict of PTC: none 08:56:35.188919 511 - Disconnected from MC. 08:56:35.188927 511 - TTCN-3 Parallel Test Component finished. 08:56:35.188954 mtc GPRS_Components.ttcn:223 All components were stopped. 08:56:35.188975 mtc GPRS_Components.ttcn:224 Stopping test component execution. 08:56:35.189001 mtc PCU_Tests.ttcn:4756 Test case TC_egprs_pkt_chan_req_reject_exhaustion was stopped. 08:56:35.189006 mtc PCU_Tests.ttcn:4756 Terminating component type PCU_Tests.RAW_PCU_Test_CT. 08:56:35.189011 mtc PCU_Tests.ttcn:4756 Default with id 1 (altstep as_Tguard_RAW) was deactivated. 08:56:35.189015 mtc PCU_Tests.ttcn:4756 Stop timer g_T_guard: 60 s 08:56:35.189020 mtc PCU_Tests.ttcn:4756 Removing unterminated connection between port BTS and BTS(510):TC. 08:56:35.189040 mtc PCU_Tests.ttcn:4756 Port BTS was stopped. 08:56:35.189045 mtc PCU_Tests.ttcn:4756 Port IPA_CTRL was stopped. 08:56:35.189048 mtc PCU_Tests.ttcn:4756 Port STATSD_PROC was stopped. 08:56:35.189052 mtc PCU_Tests.ttcn:4756 Port PROC was stopped. 08:56:35.189055 mtc PCU_Tests.ttcn:4756 Port RIM was stopped. 08:56:35.189059 mtc PCU_Tests.ttcn:4756 Port BSSGP[0] was stopped. 08:56:35.189062 mtc PCU_Tests.ttcn:4756 Port BSSGP[1] was stopped. 08:56:35.189065 mtc PCU_Tests.ttcn:4756 Port BSSGP[2] was stopped. 08:56:35.189068 mtc PCU_Tests.ttcn:4756 Port BSSGP_SIG[0] was stopped. 08:56:35.189072 mtc PCU_Tests.ttcn:4756 Port BSSGP_SIG[1] was stopped. 08:56:35.189075 mtc PCU_Tests.ttcn:4756 Port BSSGP_SIG[2] was stopped. 08:56:35.189078 mtc PCU_Tests.ttcn:4756 Port BSSGP_GLOBAL[0] was stopped. 08:56:35.189081 510 - Connection of port TC to mtc:BTS was closed unexpectedly by the peer. 08:56:35.189082 mtc PCU_Tests.ttcn:4756 Port BSSGP_GLOBAL[1] was stopped. 08:56:35.189085 mtc PCU_Tests.ttcn:4756 Port BSSGP_GLOBAL[2] was stopped. 08:56:35.189089 mtc PCU_Tests.ttcn:4756 Port BSSGP_PROC[0] was stopped. 08:56:35.189092 mtc PCU_Tests.ttcn:4756 Port BSSGP_PROC[1] was stopped. 08:56:35.189096 mtc PCU_Tests.ttcn:4756 Port BSSGP_PROC[2] was stopped. 08:56:35.189099 mtc PCU_Tests.ttcn:4756 Removing unterminated mapping between port PCUVTY and system:PCUVTY. 08:56:35.189100 510 - Port TC was disconnected from mtc:BTS. 08:56:35.189120 mtc PCU_Tests.ttcn:4756 Port PCUVTY was unmapped from system:PCUVTY. 08:56:35.189126 mtc PCU_Tests.ttcn:4756 Port PCUVTY was stopped. 08:56:35.189129 mtc PCU_Tests.ttcn:4756 Component type PCU_Tests.RAW_PCU_Test_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:35.189134 mtc PCU_Tests.ttcn:4756 Waiting for PTCs to finish. 08:56:35.189152 509 - Kill was requested from MC. Terminating idle PTC. 08:56:35.189157 509 - Terminating component type PCUIF_Components.RAW_PCUIF_CT. 08:56:35.189162 509 - Removing unterminated mapping between port PCU and system:PCU. 08:56:35.189203 510 - Kill was requested from MC. Terminating idle PTC. 08:56:35.189207 509 - Port PCU was unmapped from system:PCU. 08:56:35.189213 509 - Port PCU was stopped. 08:56:35.189215 512 - Kill was requested from MC. Terminating idle PTC. 08:56:35.189217 509 - Removing unterminated connection between port BTS and BTS(510):PCUIF. 08:56:35.189223 510 - Terminating component type PCUIF_Components.RAW_PCU_BTS_CT. 08:56:35.189228 509 - Port BTS was stopped. 08:56:35.189229 510 - Removing unterminated connection between port CLCK and ClckGen-0(512):CLCK. 08:56:35.189232 509 - Port MTC was stopped. 08:56:35.189234 512 - Terminating component type PCUIF_Components.RAW_PCU_ClckGen_CT. 08:56:35.189235 509 - Component type PCUIF_Components.RAW_PCUIF_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:35.189239 512 - Stop timer T_TDMAClock: 0.004615 s 08:56:35.189241 509 - Final verdict of PTC: pass 08:56:35.189245 512 - Removing unterminated connection between port CLCK and BTS(510):CLCK. 08:56:35.189252 510 - Port CLCK was stopped. 08:56:35.189255 509 - Disconnected from MC. 08:56:35.189257 510 - Removing unterminated connection between port PCUIF and PCUIF(509):BTS. 08:56:35.189259 509 - TTCN-3 Parallel Test Component finished. 08:56:35.189262 512 - Port CLCK was stopped. 08:56:35.189266 512 - Component type PCUIF_Components.RAW_PCU_ClckGen_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:35.189267 510 - Port PCUIF was stopped. 08:56:35.189271 510 - Port TC was stopped. 08:56:35.189272 512 - Final verdict of PTC: none 08:56:35.189274 510 - Component type PCUIF_Components.RAW_PCU_BTS_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:35.189280 510 - Final verdict of PTC: none 08:56:35.189292 512 - Disconnected from MC. 08:56:35.189297 510 - Disconnected from MC. 08:56:35.189300 512 - TTCN-3 Parallel Test Component finished. 08:56:35.189302 510 - TTCN-3 Parallel Test Component finished. 08:56:35.189351 mtc PCU_Tests.ttcn:4756 Setting final verdict of the test case. 08:56:35.189383 mtc PCU_Tests.ttcn:4756 Local verdict of MTC: pass 08:56:35.189396 mtc PCU_Tests.ttcn:4756 Local verdict of PTC PCUIF(509): pass (pass -> pass) 08:56:35.189407 mtc PCU_Tests.ttcn:4756 Local verdict of PTC BTS(510): none (pass -> pass) 08:56:35.189417 mtc PCU_Tests.ttcn:4756 Local verdict of PTC TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511): none (pass -> pass) 08:56:35.189428 mtc PCU_Tests.ttcn:4756 Local verdict of PTC ClckGen-0(512): none (pass -> pass) 08:56:35.189438 mtc PCU_Tests.ttcn:4756 Test case TC_egprs_pkt_chan_req_reject_exhaustion finished. Verdict: pass 08:56:35.189457 mtc PCU_Tests.ttcn:4756 Starting external command `../ttcn3-tcpdump-stop.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion pass'. 08:56:36.383335 mtc PCU_Tests.ttcn:4756 External command `../ttcn3-tcpdump-stop.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion pass' was executed successfully (exit status: 0). 08:56:36.383403 mtc PCU_Tests.ttcn:2559 Switching to log file `PCU_Tests-TC_mo_ping_pong_with_ul_racap_egprs_only-a572166dfa59-mtc.log'