savedcmd_kernel/time/modules.order := { :; } > kernel/time/modules.order