08:56:29.385471 mtc PCU_Tests.ttcn:4703 Starting external command `../ttcn3-tcpdump-start.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion'. 08:56:30.411857 mtc PCU_Tests.ttcn:4703 External command `../ttcn3-tcpdump-start.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion' was executed successfully (exit status: 0). 08:56:30.412084 mtc PCU_Tests.ttcn:4703 Test case TC_egprs_pkt_chan_req_reject_exhaustion started. 08:56:30.412155 mtc PCU_Tests.ttcn:4703 Initializing variables, timers and ports of component type PCU_Tests.RAW_PCU_Test_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:30.412344 mtc PCU_Tests.ttcn:4703 Port BTS was started. 08:56:30.412395 mtc PCU_Tests.ttcn:4703 Port IPA_CTRL was started. 08:56:30.412433 mtc PCU_Tests.ttcn:4703 Port STATSD_PROC was started. 08:56:30.412470 mtc PCU_Tests.ttcn:4703 Port PROC was started. 08:56:30.412508 mtc PCU_Tests.ttcn:4703 Port RIM was started. 08:56:30.412544 mtc PCU_Tests.ttcn:4703 Port BSSGP[0] was started. 08:56:30.412579 mtc PCU_Tests.ttcn:4703 Port BSSGP[1] was started. 08:56:30.412615 mtc PCU_Tests.ttcn:4703 Port BSSGP[2] was started. 08:56:30.412649 mtc PCU_Tests.ttcn:4703 Port BSSGP_SIG[0] was started. 08:56:30.412685 mtc PCU_Tests.ttcn:4703 Port BSSGP_SIG[1] was started. 08:56:30.412720 mtc PCU_Tests.ttcn:4703 Port BSSGP_SIG[2] was started. 08:56:30.412756 mtc PCU_Tests.ttcn:4703 Port BSSGP_GLOBAL[0] was started. 08:56:30.412792 mtc PCU_Tests.ttcn:4703 Port BSSGP_GLOBAL[1] was started. 08:56:30.412828 mtc PCU_Tests.ttcn:4703 Port BSSGP_GLOBAL[2] was started. 08:56:30.412864 mtc PCU_Tests.ttcn:4703 Port BSSGP_PROC[0] was started. 08:56:30.412900 mtc PCU_Tests.ttcn:4703 Port BSSGP_PROC[1] was started. 08:56:30.412936 mtc PCU_Tests.ttcn:4703 Port BSSGP_PROC[2] was started. 08:56:30.412972 mtc PCU_Tests.ttcn:4703 Port PCUVTY was started. 08:56:30.413008 mtc PCU_Tests.ttcn:4703 Component type PCU_Tests.RAW_PCU_Test_CT was initialized. 08:56:30.415331 mtc PCU_Tests.ttcn:280 Start timer g_T_guard: 60 s 08:56:30.415520 mtc PCU_Tests.ttcn:281 Altstep as_Tguard_RAW was activated as default, id 1 08:56:30.415559 mtc PCU_Tests.ttcn:284 Creating new alive PTC with component type PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. 08:56:30.422016 509 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.422022 509 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.422076 509 - TTCN-3 Parallel Test Component started on 218d5543ec3d. Component reference: PCUIF(509), component type: PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. Version: 9.0.0. 08:56:30.422090 509 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; PCUIF.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:30.422117 509 - Connected to MC. 08:56:30.422124 509 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCUIF_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:30.422451 mtc PCU_Tests.ttcn:284 PTC was created. Component reference: 509, alive: yes, type: PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. 08:56:30.422579 mtc PCU_Tests.ttcn:286 Mapping port PCUIF(509):PCU to system:PCU. 08:56:30.425253 509 - Port PCU was started. 08:56:30.425316 509 - Port BTS was started. 08:56:30.425335 509 - Port MTC was started. 08:56:30.425353 509 - Component type PCUIF_Components.RAW_PCUIF_CT was initialized. 08:56:30.425460 509 - Port PCU was mapped to system:PCU. 08:56:30.425729 mtc PCU_Tests.ttcn:286 Map operation of PCUIF(509):PCU to system:PCU finished. 08:56:30.425849 mtc PCU_Tests.ttcn:289 Creating new alive PTC with component type PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. 08:56:30.434598 510 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.434614 510 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.434800 510 - TTCN-3 Parallel Test Component started on 218d5543ec3d. Component reference: BTS(510), component type: PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. Version: 9.0.0. 08:56:30.434846 510 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:30.434938 510 - Connected to MC. 08:56:30.434966 510 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCU_BTS_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:30.435188 mtc PCU_Tests.ttcn:289 PTC was created. Component reference: 510, alive: yes, type: PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. 08:56:30.435302 mtc PCU_Tests.ttcn:290 Connecting ports BTS(510):PCUIF and PCUIF(509):BTS. 08:56:30.435657 509 - Port BTS is waiting for connection from BTS(510):PCUIF on UNIX pathname /tmp/ttcn3-portconn-e848aaa3. 08:56:30.438167 510 - Port CLCK was started. 08:56:30.438228 510 - Port PCUIF was started. 08:56:30.438246 510 - Port TC was started. 08:56:30.438264 510 - Component type PCUIF_Components.RAW_PCU_BTS_CT was initialized. 08:56:30.438374 510 - Port PCUIF has established the connection with PCUIF(509):BTS using transport type UNIX. 08:56:30.438614 509 - Port BTS has accepted the connection from BTS(510):PCUIF. 08:56:30.438827 mtc PCU_Tests.ttcn:290 Connect operation on BTS(510):PCUIF and PCUIF(509):BTS finished. 08:56:30.438948 mtc PCU_Tests.ttcn:291 Connecting ports BTS(510):TC and mtc:BTS. 08:56:30.439450 mtc PCU_Tests.ttcn:291 Port BTS is waiting for connection from BTS(510):TC on UNIX pathname /tmp/ttcn3-portconn-1544fe16. 08:56:30.439740 510 - Port TC has established the connection with mtc:BTS using transport type UNIX. 08:56:30.439945 mtc PCU_Tests.ttcn:291 Port BTS has accepted the connection from BTS(510):TC. 08:56:30.440101 mtc PCU_Tests.ttcn:291 Connect operation on BTS(510):TC and mtc:BTS finished. 08:56:30.440158 mtc PCU_Tests.ttcn:259 Mapping port mtc:PCUVTY to system:PCUVTY. 08:56:30.441071 mtc PCU_Tests.ttcn:259 Message enqueued on PCUVTY from system integer : 2 id 1 08:56:30.441201 mtc PCU_Tests.ttcn:259 Port PCUVTY was mapped to system:PCUVTY. 08:56:30.441394 mtc PCU_Tests.ttcn:259 Map operation of mtc:PCUVTY to system:PCUVTY finished. 08:56:30.441448 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoPCU> ", has_wildcards := false } } 08:56:30.441488 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoPCU# ", has_wildcards := false } } 08:56:30.441515 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoPCU(*)", has_wildcards := true } } 08:56:30.441656 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "enable" 08:56:30.441770 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.441823 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:30.441851 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:30.441872 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:30.441893 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:30.441914 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:30.441945 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port PCUVTY succeeded: 2 with ? matched 08:56:30.441976 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port PCUVTY succeeded, message from system(): integer : 2 id 1 08:56:30.442011 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of PCUVTY. 08:56:30.442077 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 2 08:56:30.442186 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.442286 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:30.442344 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 2 08:56:30.442374 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of PCUVTY. 08:56:30.442403 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.442438 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "configure terminal" 08:56:30.442502 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.442971 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config)# " id 3 08:56:30.443181 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.443276 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.443374 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config)# " with pattern "[\w-]+\(*\)\# " matched 08:56:30.443411 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config)# " id 3 08:56:30.443446 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of PCUVTY. 08:56:30.443481 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.443522 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "pcu" 08:56:30.443622 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.443948 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 4 08:56:30.444160 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.444254 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.444355 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:30.444392 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 4 08:56:30.444428 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of PCUVTY. 08:56:30.444485 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.444527 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "egprs only" 08:56:30.444629 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.445045 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" id 5 08:56:30.445167 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 6 08:56:30.445283 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.445367 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.445440 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 08:56:30.445487 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 08:56:30.445527 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port PCUVTY succeeded: "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with ? matched 08:56:30.445565 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port PCUVTY succeeded, message from system(): charstring : "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" id 5 08:56:30.445598 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 5 was extracted from the queue of PCUVTY. 08:56:30.445666 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.445731 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.445823 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:30.445855 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 6 08:56:30.445885 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of PCUVTY. 08:56:30.445916 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.445955 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "end" 08:56:30.446055 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.446324 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 7 08:56:30.446402 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.446470 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:30.446502 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 7 08:56:30.446532 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of PCUVTY. 08:56:30.446561 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.446595 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "configure terminal" 08:56:30.446650 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.446936 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config)# " id 8 08:56:30.447001 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.447062 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.447144 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config)# " with pattern "[\w-]+\(*\)\# " matched 08:56:30.447178 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config)# " id 8 08:56:30.447213 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of PCUVTY. 08:56:30.447246 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.447276 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "pcu" 08:56:30.447323 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.447662 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 9 08:56:30.447903 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.448042 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.448190 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:30.448254 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 9 08:56:30.448312 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of PCUVTY. 08:56:30.448368 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.448433 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "no two-phase-access" 08:56:30.448574 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.448963 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 10 08:56:30.449161 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.449298 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.449446 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:30.449510 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 10 08:56:30.449567 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of PCUVTY. 08:56:30.449623 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.449682 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "end" 08:56:30.449809 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.450118 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 11 08:56:30.450372 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.450522 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:30.450587 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 11 08:56:30.450644 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 11 was extracted from the queue of PCUVTY. 08:56:30.450698 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.450787 mtc StatsD_Checker.ttcn:251 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. 08:56:30.459286 511 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.459304 511 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.459498 511 - TTCN-3 Parallel Test Component started on 218d5543ec3d. Component reference: TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511), component type: StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. Version: 9.0.0. 08:56:30.459545 511 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:30.459633 511 - Connected to MC. 08:56:30.459661 511 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:30.459788 mtc StatsD_Checker.ttcn:251 PTC was created. Component reference: 511, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. 08:56:30.459900 mtc StatsD_Checker.ttcn:252 Starting function main("172.18.31.10", 8125) on component TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511). 08:56:30.460038 mtc StatsD_Checker.ttcn:252 Function was started. 08:56:30.460096 mtc PCU_Tests.ttcn:298 Connecting ports mtc:STATSD_PROC and TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC. 08:56:30.460467 mtc PCU_Tests.ttcn:298 Port STATSD_PROC is waiting for connection from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-1656a802. 08:56:30.462801 511 - Port STATSVTY was started. 08:56:30.462866 511 - Port STATSD_PROC was started. 08:56:30.462896 511 - Port STATS was started. 08:56:30.462914 511 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 08:56:30.463063 511 - Starting function main("172.18.31.10", 8125). 08:56:30.463237 511 - Port STATSD_PROC has established the connection with mtc:STATSD_PROC using transport type UNIX. 08:56:30.463430 mtc PCU_Tests.ttcn:298 Port STATSD_PROC has accepted the connection from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC. 08:56:30.463523 511 StatsD_Checker.ttcn:103 Mapping port TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATS to system:STATS. 08:56:30.463550 mtc PCU_Tests.ttcn:298 Connect operation on mtc:STATSD_PROC and TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC finished. 08:56:30.463616 mtc PCU_Tests.ttcn:300 Starting function f_PCUIF_CT_handler("/data/unix/pcu_bts", false) on component PCUIF(509). 08:56:30.463657 511 StatsD_Checker.ttcn:103 Port STATS was mapped to system:STATS. 08:56:30.463751 511 StatsD_Checker.ttcn:103 Map operation of TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATS to system:STATS finished. 08:56:30.463756 mtc PCU_Tests.ttcn:300 Function was started. 08:56:30.463961 mtc PCU_Tests.ttcn:301 Starting function f_BTS_CT_handler(0, { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC121F0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) }, true) on component BTS(510). 08:56:30.463973 509 - Starting function f_PCUIF_CT_handler("/data/unix/pcu_bts", false). 08:56:30.464062 511 StatsD_Checker.ttcn:104 entering f__IPL4__PROVIDER__listen: 172.18.31.10:8125 / UDP 08:56:30.464267 509 PCUIF_Components.ttcn:648 "Init PCU interface on '/data/unix/pcu_bts', waiting for connection..." 08:56:30.464958 509 PCUIF_CodecPort.ttcn:117 Sent on PCU to system @UD_Types.UD_listen : { path := "/data/unix/pcu_bts" } 08:56:30.465131 mtc PCU_Tests.ttcn:301 Function was started. 08:56:30.465556 509 PCUIF_CodecPort.ttcn:117 Message enqueued on PCU from system @UD_Types.UD_listen_result : { id := 0, result := { result_code := SUCCESS (1), err := omit } } id 1 08:56:30.465607 510 - Starting function f_BTS_CT_handler(0, { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC121F0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) }, true). 08:56:30.465804 509 PCUIF_CodecPort.ttcn:118 Start timer T: 5 s 08:56:30.466021 509 PCUIF_CodecPort.ttcn:120 Matching on port PCU succeeded: matched 08:56:30.466026 511 StatsD_Checker.ttcn:111 Mapping port TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSVTY to system:STATSVTY. 08:56:30.466091 509 PCUIF_CodecPort.ttcn:120 Receive operation on port PCU succeeded, message from system(): @UD_Types.UD_listen_result : { id := 0, result := { result_code := SUCCESS (1), err := omit } } id 1 08:56:30.466131 509 PCUIF_CodecPort.ttcn:120 Message with id 1 was extracted from the queue of PCU. 08:56:30.466266 509 PCUIF_Components.ttcn:652 Start timer T_Conn: 10 s 08:56:30.467317 511 StatsD_Checker.ttcn:111 Message enqueued on STATSVTY from system integer : 2 id 1 08:56:30.467393 510 PCUIF_Components.ttcn:499 Creating new alive PTC with component type PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. 08:56:30.467506 511 StatsD_Checker.ttcn:111 Port STATSVTY was mapped to system:STATSVTY. 08:56:30.467585 511 StatsD_Checker.ttcn:111 Map operation of TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSVTY to system:STATSVTY finished. 08:56:30.467938 511 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoPCU> ", has_wildcards := false } } 08:56:30.468082 511 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoPCU# ", has_wildcards := false } } 08:56:30.468134 511 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoPCU(*)", has_wildcards := true } } 08:56:30.468478 511 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 08:56:30.468662 511 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.468792 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:30.468818 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:30.468836 511 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:30.468854 511 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:30.468897 511 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:30.469017 511 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 08:56:30.469070 511 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 08:56:30.469103 511 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 08:56:30.469275 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 2 08:56:30.469487 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.469572 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:30.469596 511 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 2 08:56:30.469618 511 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 08:56:30.469659 511 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.469699 511 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 08:56:30.469754 511 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.469975 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 3 08:56:30.470031 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.470083 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:30.470104 511 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 3 08:56:30.470123 511 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 08:56:30.470144 511 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.473975 512 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.473987 512 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.474120 512 - TTCN-3 Parallel Test Component started on 218d5543ec3d. Component reference: ClckGen-0(512), component type: PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. Version: 9.0.0. 08:56:30.474147 512 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; ClckGen-0.ConsoleMask:=ERROR; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:30.474202 512 - Connected to MC. 08:56:30.474218 512 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCU_ClckGen_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:30.474395 510 PCUIF_Components.ttcn:499 PTC was created. Component reference: 512, alive: yes, type: PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. 08:56:30.474520 510 PCUIF_Components.ttcn:500 Connecting ports ClckGen-0(512):CLCK and BTS(510):CLCK. 08:56:30.474826 510 PCUIF_Components.ttcn:500 Port CLCK is waiting for connection from ClckGen-0(512):CLCK on UNIX pathname /tmp/ttcn3-portconn-954a4a3. 08:56:30.475871 512 - Port CLCK was started. 08:56:30.475910 512 - Component type PCUIF_Components.RAW_PCU_ClckGen_CT was initialized. 08:56:30.475960 512 - Port CLCK has established the connection with BTS(510):CLCK using transport type UNIX. 08:56:30.476104 510 PCUIF_Components.ttcn:500 Port CLCK has accepted the connection from ClckGen-0(512):CLCK. 08:56:30.476191 510 PCUIF_Components.ttcn:500 Connect operation on ClckGen-0(512):CLCK and BTS(510):CLCK finished. 08:56:32.188764 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_connected : { path := "/data/unix/pcu_bts", id := 0, result := { result_code := SUCCESS (1), err := omit } } id 2 08:56:32.188996 509 PCUIF_Components.ttcn:654 Matching on port PCU succeeded: matched 08:56:32.189038 509 PCUIF_Components.ttcn:654 Receive operation on port PCU succeeded, message from system(): @UD_Types.UD_connected : { path := "/data/unix/pcu_bts", id := 0, result := { result_code := SUCCESS (1), err := omit } } id 2 08:56:32.189071 509 PCUIF_Components.ttcn:654 Message with id 2 was extracted from the queue of PCU. 08:56:32.189124 509 PCUIF_Components.ttcn:655 OsmoPCU is now connected 08:56:32.189482 509 PCUIF_Components.ttcn:658 Sent on BTS to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } 08:56:32.189674 509 PCUIF_Components.ttcn:660 setverdict(pass): none -> pass 08:56:32.189736 509 PCUIF_Components.ttcn:661 Stop timer T_Conn: 10 s 08:56:32.189985 510 PCUIF_Components.ttcn:503 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } id 1 08:56:32.190095 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '7000000000312E342E3000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 3 08:56:32.190268 510 PCUIF_Components.ttcn:503 Matching on port PCUIF succeeded: matched 08:56:32.190387 510 PCUIF_Components.ttcn:503 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } id 1 08:56:32.190412 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '7000000000312E342E3000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.190431 510 PCUIF_Components.ttcn:503 Message with id 1 was extracted from the queue of PCUIF. 08:56:32.191039 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } } 08:56:32.191348 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } }, id := 0 } id 3 08:56:32.191440 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.191487 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } }, id := 0 } id 3 08:56:32.191518 509 PCUIF_Components.ttcn:665 Message with id 3 was extracted from the queue of PCU. 08:56:32.191579 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } } 08:56:32.191965 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } } id 2 08:56:32.192280 510 PCUIF_Components.ttcn:507 Matching on port PCUIF succeeded: matched 08:56:32.192326 510 PCUIF_Components.ttcn:507 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } } id 2 08:56:32.192359 510 PCUIF_Components.ttcn:507 Message with id 2 was extracted from the queue of PCUIF. 08:56:32.192386 510 PCUIF_Components.ttcn:508 Rx TXT.ind from the PCU, version is "1.4.0" 08:56:32.193802 510 PCUIF_Components.ttcn:511 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC121F0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } 08:56:32.194659 510 PCUIF_Components.ttcn:377 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.194785 510 PCUIF_Components.ttcn:522 Sent on TC to mtc @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } 08:56:32.194846 510 PCUIF_Components.ttcn:525 Starting function f_ClckGen_CT_handler(0) on component ClckGen-0(512). 08:56:32.195037 mtc PCU_Tests.ttcn:304 Message enqueued on BTS from BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } id 1 08:56:32.195205 mtc PCU_Tests.ttcn:304 Matching on port BTS succeeded: matched 08:56:32.195214 510 PCUIF_Components.ttcn:525 Function was started. 08:56:32.195268 mtc PCU_Tests.ttcn:304 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } id 1 08:56:32.195323 mtc PCU_Tests.ttcn:304 Message with id 1 was extracted from the queue of BTS. 08:56:32.195342 512 - Starting function f_ClckGen_CT_handler(0). 08:56:32.195373 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC121F0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } id 1 08:56:32.195452 mtc StatsD_Checker.ttcn:262 Called on STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511) @StatsD_Checker.STATSD_reset : { } 08:56:32.195709 511 StatsD_Checker.ttcn:119 Call enqueued on STATSD_PROC from mtc @StatsD_Checker.STATSD_reset : { } id 1 08:56:32.195895 511 StatsD_Checker.ttcn:120 Matching on port STATSD_PROC succeeded: { } with { } matched 08:56:32.195966 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.195967 511 StatsD_Checker.ttcn:120 Getcall operation on port STATSD_PROC succeeded, call from mtc: @StatsD_Checker.STATSD_reset : { } id 1 08:56:32.195972 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } 08:56:32.196021 511 StatsD_Checker.ttcn:120 Operation with id 1 was extracted from the queue of STATSD_PROC. 08:56:32.196058 511 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 08:56:32.196199 511 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:32.196215 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.196292 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } id 1 08:56:32.196433 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC121F0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } id 1 08:56:32.196546 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:32.196591 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } id 1 08:56:32.196604 509 PCUIF_Components.ttcn:678 Message with id 1 was extracted from the queue of BTS. 08:56:32.196625 510 PCUIF_Components.ttcn:444 Message with id 1 was extracted from the queue of CLCK. 08:56:32.196651 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 4 08:56:32.196724 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } 08:56:32.196867 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:32.196959 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:32.196990 511 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 4 08:56:32.197022 511 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of STATSVTY. 08:56:32.197052 511 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:32.197172 511 StatsD_Checker.ttcn:122 Replied on STATSD_PROC to mtc @StatsD_Checker.STATSD_reset : { } 08:56:32.197409 mtc StatsD_Checker.ttcn:262 Reply enqueued on STATSD_PROC from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511) @StatsD_Checker.STATSD_reset : { } id 1 08:56:32.197562 mtc StatsD_Checker.ttcn:263 Matching on port STATSD_PROC succeeded: { } with { } matched 08:56:32.197618 mtc StatsD_Checker.ttcn:263 Getreply operation on port STATSD_PROC succeeded, reply from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511): @StatsD_Checker.STATSD_reset : { } id 1 08:56:32.197673 mtc StatsD_Checker.ttcn:263 Operation with id 1 was extracted from the queue of STATSD_PROC. 08:56:32.197732 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.028238. 08:56:32.197875 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '000'B } } 08:56:32.197971 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010100000000'B 08:56:32.198047 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC121F0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } }, id := 0 } 08:56:32.198052 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (680): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '000'B } } 08:56:32.198170 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=680, TA=0 08:56:32.198336 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC121F0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } 08:56:32.198355 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 680, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.198755 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.198836 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 680, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 1 08:56:32.199201 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.199320 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 680, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.199364 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 680, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.199395 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.199428 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 680, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 1 08:56:32.199463 510 PCUIF_Components.ttcn:352 Message with id 1 was extracted from the queue of TC. 08:56:32.199528 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 680, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.199708 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '320000000C0000000100FF1F6703800000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000068030000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000690300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006A0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006B0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006C0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006D0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006E0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000706012A00004F330000D204030303031E030A03030303030A030A030A03E051FA0003D204030505A0050A04080FC409C4090201D2040000D8590000D85900000400AC121F0A0000000000000000000000000000000000000000000000000000000005'O 08:56:32.200158 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '320000000C0000000100FF1F6703800000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000068030000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000690300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006A0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006B0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006C0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006D0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006E0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000706012A00004F330000D204030303031E030A03030303030A030A030A03E051FA0003D204030505A0050A04080FC409C4090201D2040000D8590000D85900000400AC121F0A0000000000000000000000000000000000000000000000000000000005'O, id := 0 } 08:56:32.200489 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 2 08:56:32.200614 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } id 3 08:56:32.200697 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 680, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:32.200779 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.200814 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 2 08:56:32.200844 509 PCUIF_Components.ttcn:678 Message with id 2 was extracted from the queue of BTS. 08:56:32.200889 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.200986 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:32.201016 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.201037 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.201080 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.201488 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.201554 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.201581 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } id 3 08:56:32.201607 509 PCUIF_Components.ttcn:678 Message with id 3 was extracted from the queue of BTS. 08:56:32.201666 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } }, id := 0 } 08:56:32.201691 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } 08:56:32.201730 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.202096 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.202152 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.202183 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 680, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:32.202209 509 PCUIF_Components.ttcn:678 Message with id 4 was extracted from the queue of BTS. 08:56:32.202344 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 680, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.202371 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 680, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.202432 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001A80200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.202798 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001A80200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.203504 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '4000000001000700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 4 08:56:32.203634 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '4000000001000700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.203940 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } 08:56:32.204017 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } }, id := 0 } id 4 08:56:32.204058 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.204090 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } }, id := 0 } id 4 08:56:32.204121 509 PCUIF_Components.ttcn:665 Message with id 4 was extracted from the queue of PCU. 08:56:32.204154 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } 08:56:32.204367 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } id 3 08:56:32.204590 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_ACT_REQ (64) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.204658 510 PCUIF_Components.ttcn:529 Matching on port PCUIF succeeded: matched 08:56:32.204689 510 PCUIF_Components.ttcn:529 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } id 3 08:56:32.204720 510 PCUIF_Components.ttcn:529 Message with id 3 was extracted from the queue of PCUIF. 08:56:32.204745 510 PCUIF_Components.ttcn:530 "Rx ACT.req from the PCU: TRX0/TS7" 08:56:32.205697 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.205741 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.206225 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 5 08:56:32.206388 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.206749 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.206918 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 5 08:56:32.206965 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.207004 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 5 08:56:32.207037 509 PCUIF_Components.ttcn:665 Message with id 5 was extracted from the queue of PCU. 08:56:32.207076 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.207303 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 4 08:56:32.207473 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.207546 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.207608 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.207678 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.207715 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.207752 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 4 08:56:32.207806 510 PCUIF_Components.ttcn:556 Message with id 4 was extracted from the queue of PCUIF. 08:56:32.207940 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B00'O 08:56:32.208187 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000044200000000B2B2B2B2B2B'O, confirm := false } 08:56:32.208364 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.208618 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000044200000000B2B2B2B2B2B'O 08:56:32.209826 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01000'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.210387 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.210436 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } 08:56:32.210485 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.210822 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01000'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.211318 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } id 2 08:56:32.211434 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01000'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 2 08:56:32.211464 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:32.211500 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:32.211527 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } id 2 08:56:32.211556 510 PCUIF_Components.ttcn:460 Message with id 2 was extracted from the queue of CLCK. 08:56:32.211671 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.211692 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.211748 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01000'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 2 08:56:32.211802 mtc GPRS_Components.ttcn:1068 Message with id 2 was extracted from the queue of BTS. 08:56:32.211848 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01000'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.211903 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 5 08:56:32.212040 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.212083 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 5 08:56:32.212115 509 PCUIF_Components.ttcn:678 Message with id 5 was extracted from the queue of BTS. 08:56:32.212132 mtc GPRS_Components.ttcn:1077 setverdict(pass): none -> pass 08:56:32.212187 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.212189 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:32.212220 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.212226 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.658583. 08:56:32.212291 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '101'B } } 08:56:32.212310 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.212355 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010110100000'B 08:56:32.212410 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (685): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '101'B } } 08:56:32.212488 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=685, TA=0 08:56:32.212569 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.212630 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.212682 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.212769 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 2 08:56:32.212964 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.213025 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.213066 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.213097 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.213130 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 2 08:56:32.213162 510 PCUIF_Components.ttcn:352 Message with id 2 was extracted from the queue of TC. 08:56:32.213196 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.213401 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:32.213534 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.213577 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:32.213609 509 PCUIF_Components.ttcn:678 Message with id 6 was extracted from the queue of BTS. 08:56:32.213680 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.213709 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.213774 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AD0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.214164 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AD0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.215124 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.215179 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } 08:56:32.215226 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.215355 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } id 3 08:56:32.215557 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:32.215597 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } id 3 08:56:32.215651 510 PCUIF_Components.ttcn:444 Message with id 3 was extracted from the queue of CLCK. 08:56:32.215697 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } 08:56:32.215880 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } id 7 08:56:32.216032 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.216073 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } id 7 08:56:32.216104 509 PCUIF_Components.ttcn:678 Message with id 7 was extracted from the queue of BTS. 08:56:32.216158 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } }, id := 0 } 08:56:32.216188 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } 08:56:32.216238 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.216610 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.217415 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 6 08:56:32.217544 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.217837 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.217951 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 6 08:56:32.217994 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.218033 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 6 08:56:32.218065 509 PCUIF_Components.ttcn:665 Message with id 6 was extracted from the queue of PCU. 08:56:32.218104 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.218336 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 5 08:56:32.218507 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.218574 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.218622 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.218672 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.218708 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.218744 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 5 08:56:32.218776 510 PCUIF_Components.ttcn:556 Message with id 5 was extracted from the queue of PCUIF. 08:56:32.218804 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B00'O 08:56:32.218848 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000046A10800000B2B2B2B2B2B'O, confirm := false } 08:56:32.218887 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.218975 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000046A10800000B2B2B2B2B2B'O 08:56:32.219087 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.219324 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.219746 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 3 08:56:32.219873 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.219900 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.220113 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.220254 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 3 08:56:32.220398 mtc GPRS_Components.ttcn:1068 Message with id 3 was extracted from the queue of BTS. 08:56:32.220493 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.220867 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.220983 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.221057 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.716019. 08:56:32.221160 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '101'B } } 08:56:32.221248 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010110100000'B 08:56:32.221326 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (685): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '101'B } } 08:56:32.221426 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=685, TA=0 08:56:32.221549 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.221672 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.221785 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 3 08:56:32.221977 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.222036 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.222078 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.222132 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.222165 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 3 08:56:32.222196 510 PCUIF_Components.ttcn:352 Message with id 3 was extracted from the queue of TC. 08:56:32.222230 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.222422 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:32.222555 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.222597 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:32.222650 509 PCUIF_Components.ttcn:678 Message with id 8 was extracted from the queue of BTS. 08:56:32.222721 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.222751 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.222816 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AD0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.223170 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AD0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.224538 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.224579 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.226267 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 7 08:56:32.226432 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.226736 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.226850 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 7 08:56:32.226894 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.226933 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 7 08:56:32.226966 509 PCUIF_Components.ttcn:665 Message with id 7 was extracted from the queue of PCU. 08:56:32.227006 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.227218 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 6 08:56:32.227388 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.227456 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.227503 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.227552 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.227588 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.227625 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 6 08:56:32.227657 510 PCUIF_Components.ttcn:556 Message with id 6 was extracted from the queue of PCUIF. 08:56:32.227709 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B00'O 08:56:32.227754 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000046A21000000B2B2B2B2B2B'O, confirm := false } 08:56:32.227795 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.227845 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000046A21000000B2B2B2B2B2B'O 08:56:32.227953 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.228138 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.228520 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 4 08:56:32.228904 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.229044 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 4 08:56:32.229157 mtc GPRS_Components.ttcn:1068 Message with id 4 was extracted from the queue of BTS. 08:56:32.229226 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.229249 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.229263 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } 08:56:32.229296 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.229431 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } id 4 08:56:32.229641 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.229657 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:32.229704 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:32.229733 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } id 4 08:56:32.229759 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.229764 510 PCUIF_Components.ttcn:460 Message with id 4 was extracted from the queue of CLCK. 08:56:32.229834 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.377120. 08:56:32.229852 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.229934 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:32.230019 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101100000'B 08:56:32.230062 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 9 08:56:32.230098 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (683): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:32.230195 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.230201 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=683, TA=0 08:56:32.230239 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 9 08:56:32.230436 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.230585 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:32.230601 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.230687 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.230741 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.230781 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.230818 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.230851 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:32.230857 509 PCUIF_Components.ttcn:678 Message with id 9 was extracted from the queue of BTS. 08:56:32.230881 510 PCUIF_Components.ttcn:352 Message with id 4 was extracted from the queue of TC. 08:56:32.230915 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.230947 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:32.230979 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.231050 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.231437 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.231544 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 10 08:56:32.231581 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.231611 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 10 08:56:32.231637 509 PCUIF_Components.ttcn:678 Message with id 10 was extracted from the queue of BTS. 08:56:32.231685 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.231710 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.231759 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.232115 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.233933 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.233971 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } 08:56:32.234004 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.234145 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } id 5 08:56:32.234385 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:32.234430 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } id 5 08:56:32.234463 510 PCUIF_Components.ttcn:444 Message with id 5 was extracted from the queue of CLCK. 08:56:32.234509 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } 08:56:32.234725 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } id 11 08:56:32.234854 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.234894 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } id 11 08:56:32.234926 509 PCUIF_Components.ttcn:678 Message with id 11 was extracted from the queue of BTS. 08:56:32.234980 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } }, id := 0 } 08:56:32.235009 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } 08:56:32.235080 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.235441 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.235648 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 8 08:56:32.235691 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.235959 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.236060 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 8 08:56:32.236095 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.236129 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 8 08:56:32.236158 509 PCUIF_Components.ttcn:665 Message with id 8 was extracted from the queue of PCU. 08:56:32.236194 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.236388 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 7 08:56:32.236557 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.236625 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.236672 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.236721 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.236757 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.236792 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 7 08:56:32.236824 510 PCUIF_Components.ttcn:556 Message with id 7 was extracted from the queue of PCUIF. 08:56:32.236853 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O 08:56:32.236897 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045A31800000B2B2B2B2B2B'O, confirm := false } 08:56:32.236935 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.236986 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045A31800000B2B2B2B2B2B'O 08:56:32.237093 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.237298 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.237559 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 5 08:56:32.237766 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.237846 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 5 08:56:32.237915 mtc GPRS_Components.ttcn:1068 Message with id 5 was extracted from the queue of BTS. 08:56:32.237960 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.238240 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.238293 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.238350 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.397664. 08:56:32.238408 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:32.238462 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101100000'B 08:56:32.238514 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (683): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:32.238577 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=683, TA=0 08:56:32.238641 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.238649 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.238669 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.238709 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.238914 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 5 08:56:32.239107 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.239168 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.239209 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.239262 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.239295 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 5 08:56:32.239327 510 PCUIF_Components.ttcn:352 Message with id 5 was extracted from the queue of TC. 08:56:32.239361 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.239562 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 12 08:56:32.239696 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.239738 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 12 08:56:32.239769 509 PCUIF_Components.ttcn:678 Message with id 12 was extracted from the queue of BTS. 08:56:32.239840 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.239869 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.239956 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.240316 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.242197 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 9 08:56:32.242357 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.242678 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.242795 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 9 08:56:32.242838 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.242877 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 9 08:56:32.242909 509 PCUIF_Components.ttcn:665 Message with id 9 was extracted from the queue of PCU. 08:56:32.242947 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.243152 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 8 08:56:32.243307 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.243323 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.243338 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.243392 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.243440 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.243490 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.243526 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.243562 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 8 08:56:32.243593 510 PCUIF_Components.ttcn:556 Message with id 8 was extracted from the queue of PCUIF. 08:56:32.243621 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O 08:56:32.243665 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045A42000000B2B2B2B2B2B'O, confirm := false } 08:56:32.243726 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.243777 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045A42000000B2B2B2B2B2B'O 08:56:32.243881 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.244063 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.244305 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 6 08:56:32.244504 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.244581 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 6 08:56:32.244630 mtc GPRS_Components.ttcn:1068 Message with id 6 was extracted from the queue of BTS. 08:56:32.244672 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.244953 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.245002 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.245034 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.175291. 08:56:32.245090 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:32.245137 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010100100000'B 08:56:32.245186 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (681): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:32.245245 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=681, TA=0 08:56:32.245318 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.245377 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.245515 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:32.245605 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.245666 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.245709 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.245742 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.245774 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:32.245803 510 PCUIF_Components.ttcn:352 Message with id 6 was extracted from the queue of TC. 08:56:32.245835 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.246021 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 13 08:56:32.246153 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.246196 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 13 08:56:32.246229 509 PCUIF_Components.ttcn:678 Message with id 13 was extracted from the queue of BTS. 08:56:32.246328 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.246360 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.246448 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.246814 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.247976 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.248031 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } 08:56:32.248090 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.248159 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } id 6 08:56:32.248362 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:32.248408 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:32.248438 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } id 6 08:56:32.248469 510 PCUIF_Components.ttcn:460 Message with id 6 was extracted from the queue of CLCK. 08:56:32.248556 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.248709 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 10 08:56:32.248839 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.249144 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.249260 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 10 08:56:32.249302 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.249342 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 10 08:56:32.249373 509 PCUIF_Components.ttcn:665 Message with id 10 was extracted from the queue of PCU. 08:56:32.249412 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.249499 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 14 08:56:32.249506 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 9 08:56:32.249535 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.249566 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 14 08:56:32.249570 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.249592 509 PCUIF_Components.ttcn:678 Message with id 14 was extracted from the queue of BTS. 08:56:32.249645 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.249657 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:32.249688 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.249693 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.249727 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.249754 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.249762 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.249795 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 9 08:56:32.249824 510 PCUIF_Components.ttcn:556 Message with id 9 was extracted from the queue of PCUIF. 08:56:32.249851 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O 08:56:32.249897 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000044A52800000B2B2B2B2B2B'O, confirm := false } 08:56:32.249939 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.249981 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000044A52800000B2B2B2B2B2B'O 08:56:32.250088 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.250111 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.250270 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.250548 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 7 08:56:32.250736 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.250808 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 7 08:56:32.250868 mtc GPRS_Components.ttcn:1068 Message with id 7 was extracted from the queue of BTS. 08:56:32.250911 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.251175 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.251223 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.251255 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.574665. 08:56:32.251313 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '100'B } } 08:56:32.251360 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010110000000'B 08:56:32.251409 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (684): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '100'B } } 08:56:32.251479 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=684, TA=0 08:56:32.251549 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.251608 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.251639 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 7 08:56:32.251725 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.251770 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.251809 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.251840 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.251872 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 7 08:56:32.251902 510 PCUIF_Components.ttcn:352 Message with id 7 was extracted from the queue of TC. 08:56:32.251943 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.252148 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 15 08:56:32.252284 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.252328 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 15 08:56:32.252360 509 PCUIF_Components.ttcn:678 Message with id 15 was extracted from the queue of BTS. 08:56:32.252431 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.252461 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.252526 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AC0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.252740 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.252775 512 PCUIF_Components.ttcn:248 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } 08:56:32.252823 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.252853 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } id 7 08:56:32.252946 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AC0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.252950 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PTCCH_UL_BURST (6) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:32.252983 510 PCUIF_Components.ttcn:460 Matching on port CLCK TDMA_EV_PTCCH_UL_BURST (6) with TDMA_EV_PDTCH_BLOCK_END (4) unmatched: First message in the queue does not match the template: 08:56:32.253055 510 PCUIF_Components.ttcn:484 Matching on port CLCK succeeded: matched 08:56:32.253082 510 PCUIF_Components.ttcn:484 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } id 7 08:56:32.253111 510 PCUIF_Components.ttcn:484 Message with id 7 was extracted from the queue of CLCK. 08:56:32.254808 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 11 08:56:32.254963 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.255241 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.255357 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 11 08:56:32.255399 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.255438 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 11 08:56:32.255477 509 PCUIF_Components.ttcn:665 Message with id 11 was extracted from the queue of PCU. 08:56:32.255517 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.255612 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 10 08:56:32.255677 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.255723 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.255763 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.255801 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.255834 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.255867 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 10 08:56:32.255896 510 PCUIF_Components.ttcn:556 Message with id 10 was extracted from the queue of PCUIF. 08:56:32.255922 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B00'O 08:56:32.255962 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000046263000000B2B2B2B2B2B'O, confirm := false } 08:56:32.256013 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.256053 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000046263000000B2B2B2B2B2B'O 08:56:32.256145 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01100'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.256307 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01100'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.256536 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01100'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 8 08:56:32.256714 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.256789 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046263000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01100'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 8 08:56:32.256851 mtc GPRS_Components.ttcn:1068 Message with id 8 was extracted from the queue of BTS. 08:56:32.256893 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01100'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.257164 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.257214 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.257246 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '100'B } } 08:56:32.257293 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010110000000'B 08:56:32.257417 mtc PCU_Tests.ttcn:4590 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.257461 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.257484 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.257495 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } 08:56:32.257502 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:32.257523 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.257573 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.257628 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.257666 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.257695 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.257726 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:32.257755 510 PCUIF_Components.ttcn:352 Message with id 8 was extracted from the queue of TC. 08:56:32.257787 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.257870 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } id 8 08:56:32.257916 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 16 08:56:32.257950 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:32.257990 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } id 8 08:56:32.258032 510 PCUIF_Components.ttcn:444 Message with id 8 was extracted from the queue of CLCK. 08:56:32.258050 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.258088 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } 08:56:32.258094 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 16 08:56:32.258128 509 PCUIF_Components.ttcn:678 Message with id 16 was extracted from the queue of BTS. 08:56:32.258198 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.258227 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 684, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.258291 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AC0200004E07000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.258707 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AC0200004E07000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.258796 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } id 17 08:56:32.258828 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.258854 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } id 17 08:56:32.258878 509 PCUIF_Components.ttcn:678 Message with id 17 was extracted from the queue of BTS. 08:56:32.258912 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } }, id := 0 } 08:56:32.258936 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } 08:56:32.258974 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '520000000D000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.259331 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '520000000D000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.260120 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 12 08:56:32.260275 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.260556 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.260681 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 12 08:56:32.260723 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.260761 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 12 08:56:32.260793 509 PCUIF_Components.ttcn:665 Message with id 12 was extracted from the queue of PCU. 08:56:32.260832 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.260922 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 11 08:56:32.260986 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.261034 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.261086 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.261123 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.261156 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.261188 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 11 08:56:32.261216 510 PCUIF_Components.ttcn:556 Message with id 11 was extracted from the queue of PCUIF. 08:56:32.261242 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B00'O 08:56:32.261280 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B'O, confirm := false } 08:56:32.261315 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.261351 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B'O 08:56:32.261590 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01100'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } } 08:56:32.261843 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01100'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } 08:56:32.262084 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01100'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } id 9 08:56:32.262161 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.262191 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.262205 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.262270 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B02B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01100'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } id 9 08:56:32.262342 mtc GPRS_Components.ttcn:1068 Message with id 9 was extracted from the queue of BTS. 08:56:32.262375 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01100'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } } 08:56:32.262612 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.262690 mtc PCU_Tests.ttcn:4622 setverdict(pass): pass -> pass, component reason not changed 08:56:32.262844 mtc StatsD_Checker.ttcn:274 Called on STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511) @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } } } 08:56:32.263179 511 StatsD_Checker.ttcn:119 Call enqueued on STATSD_PROC from mtc @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } } } id 2 08:56:32.263345 511 StatsD_Checker.ttcn:120 Matching on port STATSD_PROC failed: The first entity in the queue is not a call for signature @StatsD_Checker.STATSD_reset. 08:56:32.263417 511 StatsD_Checker.ttcn:124 Matching on port STATSD_PROC succeeded: { expects := matched } 08:56:32.263545 511 StatsD_Checker.ttcn:124 Getcall operation on port STATSD_PROC succeeded, call from mtc: @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } } } id 1 08:56:32.263585 511 StatsD_Checker.ttcn:124 Operation with id 2 was extracted from the queue of STATSD_PROC. 08:56:32.264335 511 StatsD_Checker.ttcn:190 Port STATS was cleared. 08:56:32.264380 511 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats report" 08:56:32.264488 511 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:32.265453 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E362E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E362E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373363A307C63'O ("TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.6.rlc.nacked:0|c\nTTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c") } id 1 08:56:32.265816 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.6.rlc.nacked:0|c\nTTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c" 08:56:32.266235 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.266264 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.266290 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.266350 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.266383 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.266407 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.266484 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.266510 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.266540 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.266563 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.266640 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.266664 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.266689 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.266711 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.266734 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.266756 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.266779 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.266801 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.266827 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.266830 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.266861 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.266896 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.266922 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.266996 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267020 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.267042 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.267085 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267108 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.267130 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.267152 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267182 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.267205 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.267229 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267250 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.267275 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.267296 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.267321 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267343 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.267366 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.267387 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.267409 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267436 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.267458 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.267479 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267501 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.267523 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267545 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.267567 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267588 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.267610 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.267631 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267659 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.267681 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.267703 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267724 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.267746 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.267769 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267790 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.267813 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.267834 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.267857 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267878 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.267901 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.267921 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.267943 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.267970 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.267992 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.268013 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268035 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.268056 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268078 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.268099 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268121 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.268143 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.268164 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268196 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.268219 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.268240 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268262 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.268284 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.268306 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268327 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.268350 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.268370 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.268393 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268414 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.268437 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.268457 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.268478 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268506 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.268528 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.268549 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268571 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.268592 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268616 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.268638 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268660 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.268681 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.268703 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268730 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.268751 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.268773 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268799 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.268821 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.268843 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268865 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.268888 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.268909 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.268932 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.268953 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.268976 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.268996 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.269018 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269047 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.269069 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.269090 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269111 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.269133 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269155 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.269177 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269199 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.269222 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.269243 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269265 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.269293 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.269316 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269338 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.269359 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.269381 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269404 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.269428 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.269449 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.269473 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269496 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.269520 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.269541 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.269562 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269584 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.269607 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.269629 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269657 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.269679 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269701 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.269723 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269745 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.269767 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.269789 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269811 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.269832 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.269853 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269882 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.269904 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.269926 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.269949 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.269972 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.269993 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.270017 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270039 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.270061 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.270081 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.270102 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270123 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.270145 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.270166 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270188 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.270209 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270237 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.270259 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270281 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.270324 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.270347 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270368 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.270389 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.270410 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270432 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.270454 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.270476 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270497 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.270520 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.270548 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.270572 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270594 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.270617 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.270638 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.270659 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270680 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.270702 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.270723 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270745 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.270767 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270788 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.270810 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270838 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.270861 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.270882 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270904 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.270925 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.270947 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.270976 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.270998 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.271021 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271043 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.271066 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.271088 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.271110 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271133 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.271156 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.271177 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.271198 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271227 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.271249 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.271269 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271291 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.271312 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271333 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.271355 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271377 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.271399 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.271420 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271442 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.271463 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.271485 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271498 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.271507 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.271529 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 16 } } 08:56:32.271536 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.271558 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.271560 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271584 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.271597 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 16 } } id 9 08:56:32.271607 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.271633 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.271656 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271679 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.271701 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.271707 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:32.271722 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.271745 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:32.271745 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271769 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.271774 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 16 } } id 9 08:56:32.271792 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.271805 510 PCUIF_Components.ttcn:460 Message with id 9 was extracted from the queue of CLCK. 08:56:32.271814 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271837 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.271859 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271880 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.271887 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.271902 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271932 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.271954 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.271975 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.271997 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.272019 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.272040 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272061 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.272082 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 18 08:56:32.272082 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.272104 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272127 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.272152 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.272174 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.272198 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272218 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.272221 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.272247 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.272264 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 18 08:56:32.272268 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.272291 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272298 509 PCUIF_Components.ttcn:678 Message with id 18 was extracted from the queue of BTS. 08:56:32.272323 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.272346 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.272368 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272372 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:32.272389 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.272403 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.272412 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272434 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.272457 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272473 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '0200000005000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000D0000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.272478 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.272500 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.272521 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272542 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.272564 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.272585 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272607 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.272629 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.272651 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272679 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.272702 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.272723 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.272746 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272770 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.272793 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.272814 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.272835 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272857 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.272878 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.272899 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272912 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '0200000005000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000D0000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.272921 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.272943 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.272965 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.272987 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273008 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.273030 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.273051 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273079 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.273100 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.273122 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273143 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.273165 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.273188 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273210 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.273233 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.273254 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.273277 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273299 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.273322 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.273343 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.273364 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273386 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.273407 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.273428 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273450 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.273471 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273499 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.273521 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273542 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.273564 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.273585 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273607 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.273628 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.273650 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273671 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.273694 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.273716 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273737 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.273762 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.273783 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.273807 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273829 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.273853 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.273876 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.273898 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273926 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.273949 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.273971 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.273993 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.274015 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274037 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.274060 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274080 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.274102 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.274123 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274143 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.274166 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.274187 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274208 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.274230 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.274252 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274276 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.274311 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.274340 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.274363 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274390 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.274413 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.274434 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.274455 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274475 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.274497 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.274519 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274539 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.274561 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274583 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.274605 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274626 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.274648 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.274670 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274691 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.274713 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.274735 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274760 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.274781 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.274803 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274824 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.274852 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.274874 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.274896 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.274917 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.274939 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.274961 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.274982 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275002 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.275024 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.275045 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275066 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.275087 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275109 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.275130 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275152 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.275174 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.275195 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275216 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.275237 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.275258 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275284 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.275305 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.275327 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275581 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.275610 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.275632 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.275655 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275676 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.275699 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.275720 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.275742 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275762 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.275785 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.275806 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275827 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.275848 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275869 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.275889 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275907 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.275928 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.275948 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.275966 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.275985 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.276003 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276022 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.276041 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.276061 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276088 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.276108 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.276127 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.276146 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276165 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.276184 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.276198 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.276203 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.276223 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276225 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 17 } } 08:56:32.276247 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.276253 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.276268 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.276278 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 17 } } id 10 08:56:32.276288 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276308 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.276327 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276332 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:32.276345 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.276355 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 17 } } id 10 08:56:32.276365 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276378 510 PCUIF_Components.ttcn:444 Message with id 10 was extracted from the queue of CLCK. 08:56:32.276385 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.276406 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.276411 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } 08:56:32.276426 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276445 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.276464 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.276483 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276502 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.276522 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.276541 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276560 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.276576 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } id 19 08:56:32.276580 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.276599 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.276624 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276649 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.276670 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.276688 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.276707 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276717 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.276726 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.276746 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.276754 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } id 19 08:56:32.276765 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276783 509 PCUIF_Components.ttcn:678 Message with id 19 was extracted from the queue of BTS. 08:56:32.276785 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.276806 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276825 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.276835 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } }, id := 0 } 08:56:32.276844 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276862 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } 08:56:32.276868 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.276887 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.276906 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276909 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.276925 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.276944 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.276964 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.276981 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.276998 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.277016 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277032 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.277051 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.277067 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.277084 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277101 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.277120 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.277136 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.277153 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277169 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.277186 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.277202 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277205 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.277229 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.277248 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277265 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.277282 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277300 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.277317 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.277334 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277350 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.277367 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.277384 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277401 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.277418 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.277435 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277452 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.277470 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.277487 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.277504 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277521 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.277539 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.277556 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.277572 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277588 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.277606 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.277623 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277639 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.277657 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277673 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.277690 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277706 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.277724 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.277742 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277761 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.277785 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.277800 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277815 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.277831 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.277847 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277861 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.277877 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.277893 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.277909 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277924 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.277940 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.277956 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.277970 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.277985 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.278001 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.278016 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278031 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.278046 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278062 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.278077 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278093 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.278109 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.278124 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278139 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.278154 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.278170 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278185 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.278201 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.278217 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278232 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.278249 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.278264 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.278281 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278296 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.278327 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.278347 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.278361 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278375 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.278390 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.278404 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278418 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.278432 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278451 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.278466 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278480 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.278495 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.278510 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278523 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.278538 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.278552 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278567 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.278581 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.278596 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278610 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.278625 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.278639 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.278654 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278667 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.278682 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.278695 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.278709 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278722 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.278736 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.278750 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278764 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.278777 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278791 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.278805 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278819 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.278833 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.278847 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.278860 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.278874 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.278887 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.278901 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.278915 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.278929 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.278942 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.278957 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.278971 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.278986 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.278999 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.279014 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.279027 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.279041 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.279054 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.279069 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.279082 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.279095 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.279109 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.279122 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.279136 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.279151 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.279166 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.279201 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.279214 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.279227 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.279244 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.279257 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.279271 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.279340 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } 08:56:32.279676 511 Osmocom_VTY_Functions.ttcn:71 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 1 08:56:32.279928 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E352E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E352E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E342E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E342E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373313A307C63'O ("TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.5.rlc.nacked:0|c\nTTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.4.rlc.nacked:0|c\nTTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c") } id 2 08:56:32.279969 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.5.rlc.nacked:0|c\nTTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.4.rlc.nacked:0|c\nTTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c" 08:56:32.280057 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280071 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.280084 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.280098 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280111 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.280124 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.280138 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280155 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.280169 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.280182 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.280196 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280210 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.280225 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.280236 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.280247 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280259 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.280271 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.280283 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280294 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.280306 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280322 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.280334 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280346 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.280358 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.280370 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280382 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.280394 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.280405 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280418 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.280430 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.280442 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280457 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.280469 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.280481 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.280494 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280508 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.280521 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.280532 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.280544 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280556 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.280568 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.280579 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280594 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.280606 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280619 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.280631 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280642 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.280654 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.280666 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280677 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.280689 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.280700 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280716 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.280728 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.280740 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280752 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.280764 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.280776 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.280787 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280799 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.280811 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.280821 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.280832 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280843 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.280853 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.280864 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280878 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.280888 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.280889 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280902 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.280904 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.280914 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280926 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.280936 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.280947 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280958 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.280969 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.280980 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.280994 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.281005 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.281016 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281027 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.281038 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.281049 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.281060 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281072 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.281084 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.281094 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.281104 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281114 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.281125 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.281134 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281148 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.281158 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281168 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.281178 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281189 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.281199 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.281209 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281219 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.281229 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.281239 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281250 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.281260 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.281273 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281284 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.281294 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.281304 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.281315 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281325 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.281336 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.281345 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.281355 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281366 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.281376 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.281386 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281396 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.281406 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281419 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.281430 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281440 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.281451 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.281461 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281471 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.281482 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.281492 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281502 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.281512 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.281523 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281536 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.281547 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.281557 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.281568 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281579 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.281590 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.281600 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.281610 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281620 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.281631 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.281641 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281651 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.281661 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281671 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.281681 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281696 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.281706 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.281717 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281727 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.281737 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.281747 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281758 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.281768 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.281778 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281788 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.281799 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.281809 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.281820 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281833 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.281844 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.281855 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.281864 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281873 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.281882 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.281891 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281900 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.281910 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281919 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.281928 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281937 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.281946 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.281955 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281967 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.281976 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.281985 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.281995 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.282004 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282013 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282023 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.282032 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.282041 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.282051 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282061 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.282070 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.282079 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282088 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282100 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.282109 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.282118 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282128 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.282137 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282147 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.282156 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282165 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.282174 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282183 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282193 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.282202 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.282211 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282224 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.282233 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282242 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282252 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.282261 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.282270 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.282280 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282290 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.282311 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.282324 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282338 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282351 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.282361 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.282370 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282379 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.282388 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282401 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.282411 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282420 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.282429 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282438 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282448 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.282458 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.282466 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282475 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.282484 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282493 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282502 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.282511 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.282519 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.282528 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282538 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.282547 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.282558 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282567 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282576 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.282584 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.282593 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282602 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.282610 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282619 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.282628 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282637 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.282645 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282654 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282663 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.282672 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.282680 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282692 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.282701 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282710 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282719 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.282728 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.282736 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.282745 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282754 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.282763 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.282772 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282780 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282789 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.282798 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.282806 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282815 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.282824 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282833 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.282844 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282852 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.282861 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282869 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282878 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.282887 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.282895 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282904 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.282913 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282922 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282931 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.282940 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.282949 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.282958 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.282967 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.282976 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.282984 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.282993 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283003 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.283011 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.283018 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283027 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.283034 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283042 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.283050 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283058 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.283066 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283074 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283082 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.283089 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.283097 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283106 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.283114 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283122 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283132 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.283141 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.283148 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.283157 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283165 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.283173 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.283181 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283189 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283197 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.283205 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.283212 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283220 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.283228 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283236 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.283244 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283252 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.283259 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283268 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283275 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.283283 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.283290 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283300 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.283308 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283316 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283324 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.283332 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.283340 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.283349 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283356 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.283365 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.283373 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283380 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283388 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.283395 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.283403 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283410 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.283417 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283426 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.283434 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283443 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.283451 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283459 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283466 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.283474 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.283481 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283489 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.283496 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283504 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283512 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.283520 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.283527 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.283535 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283542 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.283551 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.283558 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283565 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283573 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.283580 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.283588 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283595 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.283602 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283612 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.283620 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283627 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.283635 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283643 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283650 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.283658 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.283665 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283672 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.283680 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283688 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283695 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.283703 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.283710 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.283718 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283725 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.283734 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.283741 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283749 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283756 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.283764 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.283771 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283779 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.283786 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283802 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.283810 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283817 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.283824 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283830 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283837 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.283844 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.283851 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283858 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.283865 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283871 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283878 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.283886 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.283893 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.283900 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283906 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.283914 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.283921 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.283928 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283934 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.283941 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.283948 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283955 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.283962 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283973 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.283980 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.283987 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.283994 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284000 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284007 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.284014 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.284021 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284027 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.284034 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284041 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284048 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.284055 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284062 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.284069 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284076 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.284083 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284091 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284097 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284104 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.284111 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.284117 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284124 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.284131 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284137 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.284144 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284154 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.284160 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284167 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284174 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.284180 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.284187 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284196 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.284204 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284211 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284218 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.284226 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284232 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.284239 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284246 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.284253 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284260 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284266 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284273 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.284282 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.284290 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284296 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.284303 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284309 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.284316 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284322 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.284328 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284335 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284341 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.284348 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.284356 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284363 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.284369 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284376 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284382 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.284390 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284397 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.284403 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284410 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.284416 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284422 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284429 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284435 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.284441 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.284447 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284453 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.284460 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284466 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.284472 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284478 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.284485 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284491 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284497 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.284504 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.284510 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284516 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.284522 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284529 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284535 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.284542 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284548 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.284555 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284561 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.284569 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284575 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284582 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284588 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.284594 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.284600 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284606 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.284613 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284619 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.284625 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284631 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.284637 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284644 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284650 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.284656 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.284662 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284668 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.284675 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284681 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284687 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.284694 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284700 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.284707 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284713 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.284720 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284726 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284732 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284738 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.284744 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.284751 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284757 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.284763 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284769 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.284776 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284782 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.284788 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284794 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284801 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.284810 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.284816 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284822 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.284829 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284835 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284841 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.284848 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284854 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.284861 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284867 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.284874 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284880 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284886 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284892 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.284899 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.284905 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284911 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.284917 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284924 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.284930 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284935 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.284941 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284947 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284952 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.284958 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.284963 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284969 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.284975 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.284980 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.284986 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.284992 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.284998 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.285004 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.285009 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.285015 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.285021 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.285026 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.285032 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.285037 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.285043 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.285049 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.285054 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.285060 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.285065 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.285071 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.285076 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.285082 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.285087 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.285093 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.285100 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.285106 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.285111 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.285117 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.285123 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.285129 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.285134 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.285140 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.285145 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.285152 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.285157 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.285163 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.285168 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.285174 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.285180 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.285185 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.285191 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.285196 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.285203 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.285209 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.285214 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.285220 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.285225 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.285231 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.285237 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.285242 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.285248 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.285254 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } 08:56:32.285326 511 Osmocom_VTY_Functions.ttcn:71 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } } id 2 08:56:32.285345 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 5 08:56:32.285374 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:32.285398 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:32.285406 511 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 5 08:56:32.285414 511 Osmocom_VTY_Functions.ttcn:73 Message with id 5 was extracted from the queue of STATSVTY. 08:56:32.285423 511 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:32.285450 511 StatsD_Checker.ttcn:195 Start timer T_statsd: 5 s 08:56:32.285531 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.285538 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.285579 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.285621 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 1 08:56:32.285646 511 StatsD_Checker.ttcn:199 Message with id 1 was extracted from the queue of STATS. 08:56:32.285779 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.285814 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } } id 2 08:56:32.285830 511 StatsD_Checker.ttcn:199 Message with id 2 was extracted from the queue of STATS. 08:56:32.285942 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E332E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E332E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E322E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E322E6D732E646C5F6374726C5F6D73675F73636865643A307C63'O ("TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.3.rlc.nacked:0|c\nTTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.2.rlc.nacked:0|c\nTTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c") } id 3 08:56:32.285955 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.3.rlc.nacked:0|c\nTTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.2.rlc.nacked:0|c\nTTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c" 08:56:32.285990 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.285995 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.286000 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.286005 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286009 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.286014 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286019 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286024 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.286029 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286034 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286039 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286046 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.286051 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286056 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286060 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286065 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.286070 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286074 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286079 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.286084 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286090 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.286095 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286100 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.286104 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286109 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286113 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.286118 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.286122 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286127 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.286132 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286136 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286143 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.286148 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286152 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286157 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286162 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.286166 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286171 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286175 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286180 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.286184 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286189 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286194 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.286198 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286204 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.286209 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286213 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.286218 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286223 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286228 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.286232 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.286236 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286241 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.286245 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286250 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286256 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.286261 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286265 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286270 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286274 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.286279 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286283 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286287 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286292 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.286296 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286306 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286312 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.286317 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286322 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.286326 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286330 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.286335 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286339 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286344 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.286348 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.286353 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286357 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.286361 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286367 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286372 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.286376 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286380 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286385 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286390 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.286394 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286398 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286403 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286407 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.286411 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286416 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286420 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.286425 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286430 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.286434 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286439 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.286443 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286447 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286452 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.286456 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.286460 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286465 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.286469 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286473 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286479 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.286484 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286488 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286492 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286497 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.286501 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286506 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286510 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286515 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.286519 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286523 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286527 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.286532 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286537 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.286541 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286545 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.286550 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286554 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286558 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.286562 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.286566 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286571 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.286575 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286579 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286585 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.286589 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286594 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286598 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286602 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.286607 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286611 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286615 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286619 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.286623 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286627 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286631 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.286636 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286640 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.286644 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286650 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.286654 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286658 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286662 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.286666 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.286670 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286675 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.286679 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286683 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286688 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.286692 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286696 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286700 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286707 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.286711 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286715 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286719 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286724 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.286728 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286732 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286736 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.286740 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286744 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.286748 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286752 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.286757 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286761 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286766 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.286770 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.286775 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286779 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.286783 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286787 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286792 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.286796 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286800 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286805 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286809 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.286814 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286818 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286822 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286826 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.286830 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286836 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286840 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.286844 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286848 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.286853 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286857 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.286861 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286865 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286869 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.286874 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.286878 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286882 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.286886 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286891 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286896 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.286901 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286905 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286909 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286913 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.286918 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.286922 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286926 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286930 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.286935 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.286939 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286943 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.286947 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286951 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.286956 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286961 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.286965 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286970 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286974 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.286978 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.286982 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.286987 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.286991 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.286995 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287000 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.287004 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287008 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287013 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287017 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.287022 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287026 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287030 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287035 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.287041 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287044 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287048 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.287052 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287056 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.287059 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287063 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.287067 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287071 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287075 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.287078 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.287082 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287087 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.287091 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287095 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287099 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.287103 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287107 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287111 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287115 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.287119 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287123 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287127 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287130 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.287134 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287138 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287142 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.287145 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287149 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.287153 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287159 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.287163 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287166 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287170 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.287174 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.287178 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287182 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.287185 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287189 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287193 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.287197 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287201 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287205 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287209 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.287213 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287216 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287220 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287225 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.287229 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287233 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287237 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.287241 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287245 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.287248 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287252 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.287256 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287260 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287264 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.287268 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.287271 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287276 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.287279 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287283 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287287 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.287291 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287296 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287300 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287304 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.287308 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287312 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287316 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287320 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.287323 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287327 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287331 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.287335 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287338 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.287342 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287346 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.287350 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287353 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287357 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.287361 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.287365 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287373 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.287377 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287381 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287385 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.287389 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287393 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287397 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287401 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.287405 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287409 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287412 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287415 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.287419 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287422 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287426 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.287429 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287432 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.287436 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287441 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.287444 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287448 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287451 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.287454 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.287458 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287461 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.287465 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287468 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287471 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.287475 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287479 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287482 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287486 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.287490 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287493 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287496 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287500 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.287503 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287507 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287510 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.287513 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287518 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.287522 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287525 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.287529 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287532 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287536 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.287539 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.287543 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287546 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.287550 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287553 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287557 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.287561 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287564 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287568 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287571 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.287575 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287578 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287582 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287585 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.287588 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287592 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287596 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.287600 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287603 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.287607 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287610 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.287614 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287617 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287621 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.287624 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.287628 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287631 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.287635 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287638 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287641 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.287645 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287649 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287652 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287656 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.287660 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287663 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287666 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287670 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.287674 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287677 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287682 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.287685 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287689 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.287692 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287695 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.287699 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287702 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287706 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.287709 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.287713 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287716 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.287719 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287723 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287727 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.287730 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287734 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287737 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287741 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.287745 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287748 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287751 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287755 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.287758 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287762 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287766 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.287770 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287775 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.287779 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287782 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.287786 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287789 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287793 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.287796 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.287800 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287803 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.287807 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287810 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287814 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.287817 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287821 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287825 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287828 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.287832 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287835 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287838 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287842 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.287845 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287849 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287852 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.287855 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287859 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.287862 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287866 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.287870 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287874 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287877 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.287880 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.287884 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287887 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.287890 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287894 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287897 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.287901 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287904 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287908 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287911 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.287916 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287919 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287922 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287926 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.287929 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287932 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287936 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.287939 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287942 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.287946 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287949 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.287952 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287956 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287959 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.287962 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.287965 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287969 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.287972 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.287976 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287981 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.287984 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.287988 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.287991 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.287995 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.287998 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288001 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288005 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288008 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.288011 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288015 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288018 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.288021 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288025 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.288028 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288031 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.288034 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288038 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288041 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.288044 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.288048 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288051 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.288054 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288058 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288061 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.288065 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288068 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288072 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288075 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.288079 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288082 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288085 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288089 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.288092 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288095 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288098 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.288102 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288105 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.288109 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288114 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.288117 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288121 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288124 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.288128 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.288131 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288135 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.288138 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288141 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288145 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.288149 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288152 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288156 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288159 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.288162 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288166 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288169 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288172 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.288176 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288179 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288182 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.288185 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288189 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.288192 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288196 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.288199 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288202 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288206 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.288209 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.288213 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288216 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.288219 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288223 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288226 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.288230 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288233 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288236 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288240 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.288243 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288247 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288250 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288253 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.288257 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288260 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288263 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.288266 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288270 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.288273 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288278 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.288282 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288285 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.288288 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.288292 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.288295 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.288298 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.288301 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288305 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.288308 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.288312 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288315 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288319 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.288322 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.288325 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288329 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288332 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.288335 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.288339 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.288342 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.288345 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.288349 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.288352 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.288356 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.288359 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.288362 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.288366 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.288369 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.288373 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.288376 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.288379 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.288383 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.288386 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } 08:56:32.288436 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } } id 3 08:56:32.288445 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.288467 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } } id 3 08:56:32.288477 511 StatsD_Checker.ttcn:199 Message with id 3 was extracted from the queue of STATS. 08:56:32.288549 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E312E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E312E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373363A307C63'O ("TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.1.rlc.nacked:0|c\nTTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c") } id 4 08:56:32.288560 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.1.rlc.nacked:0|c\nTTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c" 08:56:32.288583 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288586 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.288590 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.288593 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288597 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.288600 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288604 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288609 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.288613 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288616 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288620 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288623 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.288627 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288630 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288634 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288637 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.288641 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288644 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288649 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.288652 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288656 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.288659 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288663 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.288667 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288670 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288674 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.288677 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.288680 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288685 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.288689 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288692 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288696 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.288699 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288703 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288706 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288710 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.288714 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288717 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288720 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288724 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.288727 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288730 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288735 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.288739 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288742 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.288746 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288749 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.288753 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288756 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288759 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.288763 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.288766 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288771 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.288774 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288778 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288781 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.288785 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288788 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288792 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288796 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.288799 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288802 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288806 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288810 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.288814 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288817 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288821 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.288824 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288828 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.288831 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288835 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.288838 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288842 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288846 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.288850 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.288853 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288857 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.288860 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288864 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288867 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.288871 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288874 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288878 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288882 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.288885 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288888 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288892 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288896 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.288900 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288903 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288907 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.288910 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288914 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.288917 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288920 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.288924 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288927 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288931 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.288935 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.288939 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288942 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.288946 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288949 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288953 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.288957 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288960 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288964 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288967 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.288971 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.288974 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.288978 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288981 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.288985 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.288989 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.288993 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.288997 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289000 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.289004 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289007 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.289011 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289014 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289018 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.289021 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.289025 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289030 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.289033 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289037 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289041 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.289044 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289048 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289051 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289055 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.289059 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289062 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289066 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289069 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.289073 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289076 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289081 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.289084 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289088 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.289091 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289095 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.289098 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289101 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289105 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.289108 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.289112 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289115 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.289119 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289123 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289127 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.289131 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289134 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289138 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289142 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.289145 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289149 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289152 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289156 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.289159 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289162 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289166 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.289169 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289175 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.289178 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289182 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.289186 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289189 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289193 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.289196 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.289199 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289203 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.289207 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289210 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289214 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.289217 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289221 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289225 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289230 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.289233 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289237 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289240 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289244 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.289247 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289251 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289254 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.289258 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289261 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.289265 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289268 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.289272 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289275 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289280 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.289283 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.289286 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289290 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.289293 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289297 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289301 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.289304 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289308 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289312 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289315 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.289319 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289322 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289326 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289329 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.289333 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289336 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289342 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.289345 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289349 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.289352 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289356 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.289359 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289363 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289366 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.289370 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.289373 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289377 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.289381 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289384 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289389 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.289393 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289396 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289400 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289404 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.289407 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289411 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289414 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289417 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.289421 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289424 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289428 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.289431 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289435 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.289438 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289444 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.289447 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289451 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289454 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.289458 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.289461 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289464 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.289468 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289471 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289475 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.289479 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289482 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289486 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289489 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.289493 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289496 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289500 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289503 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.289507 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289510 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289515 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.289519 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289522 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.289526 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289529 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.289533 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289536 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289540 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.289543 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.289547 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289550 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.289554 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289557 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289561 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.289565 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289569 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289573 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289577 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.289581 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289584 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289588 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289591 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.289595 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289598 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289602 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.289605 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289609 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.289612 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289616 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.289619 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289623 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289626 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.289631 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.289634 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289638 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.289641 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289645 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289648 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.289652 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289655 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289659 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289662 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.289666 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289669 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289673 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289676 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.289680 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289683 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289687 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.289690 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289694 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.289697 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289702 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.289705 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289709 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289712 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.289716 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.289719 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289723 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.289726 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289730 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289734 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.289737 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289741 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289745 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289748 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.289752 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289755 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289759 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289762 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.289766 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289769 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289774 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.289777 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289781 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.289784 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289788 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.289791 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289795 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289798 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.289801 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.289805 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289808 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.289811 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289815 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289818 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.289822 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289826 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289829 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289832 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.289836 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289840 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289843 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289848 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.289851 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289855 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289858 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.289861 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289865 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.289868 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289872 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.289876 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289879 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289883 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.289886 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.289889 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289893 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.289896 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289900 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289903 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.289907 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289910 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289913 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289919 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.289923 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289926 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289930 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289933 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.289936 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289940 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289943 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.289946 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289950 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.289953 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289956 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.289960 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289963 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289966 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.289970 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.289973 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289976 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.289979 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.289983 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.289986 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.289990 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.289993 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.289996 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290001 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.290004 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290007 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290011 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290014 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.290017 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290020 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290024 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.290027 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290030 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.290033 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290037 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.290040 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290043 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290046 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.290050 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.290053 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290056 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.290059 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290063 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290066 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.290069 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290073 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290076 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290080 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.290084 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290087 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290090 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290093 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.290097 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290100 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290103 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.290106 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290109 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.290113 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290116 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.290119 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290122 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290126 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.290129 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.290132 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290135 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.290139 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290142 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290146 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.290149 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290152 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290156 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290158 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.290159 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.290163 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290164 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 20 } } 08:56:32.290166 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290169 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.290170 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290175 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.290178 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290181 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290185 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.290188 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290191 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.290195 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290198 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.290201 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290205 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290208 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.290211 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.290214 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290218 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.290221 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 20 } } id 11 08:56:32.290221 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290224 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290228 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.290232 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290235 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290238 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290242 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.290246 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290249 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290252 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290255 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.290259 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:32.290259 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290262 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290265 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.290267 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:32.290269 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290272 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 20 } } id 11 08:56:32.290274 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.290277 510 PCUIF_Components.ttcn:460 Message with id 11 was extracted from the queue of CLCK. 08:56:32.290278 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290281 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.290285 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290288 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290292 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.290293 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.290295 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.290303 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290307 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.290310 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290314 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290317 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.290321 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290324 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290329 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290332 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.290336 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290339 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290342 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290346 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.290349 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290352 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290356 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.290359 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290363 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.290366 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290370 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.290373 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290376 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290380 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.290383 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.290386 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290390 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.290393 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290399 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290403 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.290406 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290410 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290413 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290417 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.290421 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290424 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290427 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290431 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.290434 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290437 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290441 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.290444 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 20 08:56:32.290444 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290448 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.290451 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290455 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.290458 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290462 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290465 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.290468 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.290470 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.290472 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290476 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.290478 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 20 08:56:32.290479 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290483 509 PCUIF_Components.ttcn:678 Message with id 20 was extracted from the queue of BTS. 08:56:32.290483 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290486 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.290490 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290493 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290496 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:32.290497 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290500 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.290502 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.290504 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290507 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290510 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290514 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.290514 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.290517 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290520 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290524 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.290527 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290530 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.290534 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290537 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.290540 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290545 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290548 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.290551 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.290555 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290558 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.290562 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290565 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290569 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.290572 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290575 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290579 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.290579 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290582 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.290586 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290590 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290593 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290596 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.290600 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290603 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290606 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.290609 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290613 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.290616 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290619 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.290622 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290626 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.290629 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.290632 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.290635 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.290639 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.290642 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290646 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.290649 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.290652 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290656 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290659 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.290662 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.290666 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290669 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290673 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.290676 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.290679 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.290682 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.290686 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.290689 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.290692 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.290696 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.290700 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.290703 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.290706 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.290709 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.290713 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.290716 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.290719 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.290722 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.290726 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } 08:56:32.290771 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 4 08:56:32.290781 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.290803 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 4 08:56:32.290811 511 StatsD_Checker.ttcn:199 Message with id 4 was extracted from the queue of STATS. 08:56:32.290881 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E302E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E302E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E7061636B6574732E696E3A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E7061636B6574732E6F75743A317C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E7061636B6574732E6F75742E64726F703A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E62797465732E696E3A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E62797465732E6F75743A31327C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E62797465732E6F75742E64726F703A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E626C6F636B65643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E756E626C6F636B65643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E646561643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E7265706C616365643A307C63'O ("TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.0.rlc.nacked:0|c\nTTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c") } id 5 08:56:32.290893 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.0.rlc.nacked:0|c\nTTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c" 08:56:32.290916 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.290921 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.290925 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.290928 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.290932 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.290935 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290938 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.290942 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.290946 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290949 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290952 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.290956 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.290960 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.290963 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.290966 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.290971 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.290974 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.290977 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.290981 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.290984 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.290988 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.290991 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.290996 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.290999 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291003 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291006 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.291009 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.291012 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291016 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.291019 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291023 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291026 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.291029 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291033 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291036 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291041 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.291044 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291048 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291051 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291054 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.291058 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291061 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291064 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.291068 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291071 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.291075 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291079 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.291083 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291086 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291090 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.291093 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.291096 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291100 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.291103 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291107 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291110 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.291114 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291118 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291122 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291125 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.291129 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291132 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291135 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291139 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.291142 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291145 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291149 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.291152 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291157 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.291160 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291163 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.291167 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291170 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291174 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.291177 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.291180 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291184 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.291187 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291190 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291196 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.291199 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291203 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291206 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291210 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.291213 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291216 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291220 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291223 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.291226 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291230 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291233 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.291236 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291241 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.291245 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291248 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.291252 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291255 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291258 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.291261 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.291265 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291268 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.291272 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291275 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291279 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.291283 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291286 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291290 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291293 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.291297 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291300 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291303 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291307 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.291310 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291313 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291317 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.291320 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291325 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.291328 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291331 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.291335 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291338 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291342 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.291345 511 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:32.291348 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291352 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.291355 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291359 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291362 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.291366 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291369 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291373 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291377 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.291381 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291384 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291387 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291391 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.291394 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291397 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291401 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.291404 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291408 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.291411 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291416 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.291419 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291422 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291426 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.291429 511 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:32.291432 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291436 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.291439 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291442 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291446 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.291449 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291453 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291456 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291460 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.291463 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291467 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291470 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291475 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.291478 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291481 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291485 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.291488 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291492 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.291495 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291498 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.291502 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291505 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291508 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.291512 511 StatsD_CodecPort.ttcn:36 match_first result: 81 08:56:32.291515 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291520 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.291523 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291527 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291530 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.291534 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291537 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291541 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291544 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.291548 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291552 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291555 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291558 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.291562 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291565 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291568 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.291572 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291575 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.291580 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291583 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.291586 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291590 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291593 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.291596 511 StatsD_CodecPort.ttcn:36 match_first result: 73 08:56:32.291600 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291603 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.291607 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291610 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291613 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.291617 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291620 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291624 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291627 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.291631 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291634 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291637 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291642 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.291645 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291649 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291652 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.291656 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291659 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.291662 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291666 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.291669 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291672 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291676 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.291679 511 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:32.291683 511 StatsD_CodecPort.ttcn:36 match_begin data: :12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291686 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.291689 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291693 511 StatsD_CodecPort.ttcn:36 match_first data: 12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291696 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.291700 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291703 511 StatsD_CodecPort.ttcn:36 match_first result: 2 08:56:32.291707 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291711 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.291715 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291718 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291721 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291725 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.291728 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291731 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291735 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.291738 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291741 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.291745 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291748 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.291751 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291754 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291758 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.291761 511 StatsD_CodecPort.ttcn:36 match_first result: 79 08:56:32.291764 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291767 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.291771 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291774 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291778 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.291782 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291785 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291789 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291792 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.291795 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291799 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291802 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291805 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.291808 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291812 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291815 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.291818 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291821 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.291825 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291828 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.291832 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291835 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291838 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.291841 511 StatsD_CodecPort.ttcn:36 match_first result: 72 08:56:32.291844 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291848 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.291851 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291854 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291858 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.291861 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291864 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291870 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291873 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.291877 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291880 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291883 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291886 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.291890 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291893 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291896 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.291899 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291903 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.291906 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291910 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.291913 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291917 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291920 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.291923 511 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:32.291927 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291930 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.291933 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291937 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291940 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.291944 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291947 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291951 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291954 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.291957 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.291961 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291964 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291967 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.291971 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.291974 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291979 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.291982 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291985 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.291989 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.291992 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.291995 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.291999 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.292002 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.292005 511 StatsD_CodecPort.ttcn:36 match_first result: 69 08:56:32.292009 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.292012 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.292015 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292019 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.292022 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.292025 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292029 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292032 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.292036 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.292039 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292043 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292046 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.292049 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.292053 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292056 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.292059 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.292063 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.292066 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.292069 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.292072 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.292077 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292081 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced:0|c 08:56:32.292084 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.292088 511 StatsD_CodecPort.ttcn:36 match_first result: 73 08:56:32.292091 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.292094 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.292097 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292101 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.292104 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.292107 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292111 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292114 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.292117 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.292121 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292124 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292127 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.292131 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.292135 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.292138 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.292141 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.292144 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.292147 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.292151 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.292154 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.292157 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.292160 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.292163 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.292167 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.292170 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.292173 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.292177 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.292180 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced", val := 0, mtype := "c", srate := omit } } 08:56:32.292222 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced", val := 0, mtype := "c", srate := omit } } } id 5 08:56:32.292231 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.292252 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.replaced", val := 0, mtype := "c", srate := omit } } } id 5 08:56:32.292259 511 StatsD_Checker.ttcn:199 Message with id 5 was extracted from the queue of STATS. 08:56:32.292316 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E6E7365692D6368673A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E696E762D6E737663693A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E696E762D6E7365693A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E6C6F73742E616C6976653A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E6C6F73742E72657365743A307C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E696E3A307C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E6F75743A317C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E6F75742E64726F703A307C630A5454434E332E6E732E6E73652E313233342E62797465732E696E3A307C630A5454434E332E6E732E6E73652E313233342E62797465732E6F75743A31327C630A5454434E332E6E732E6E73652E313233342E62797465732E6F75742E64726F703A307C630A5454434E332E6E732E6E73652E313233342E626C6F636B65643A307C630A5454434E332E6E732E6E73652E313233342E756E626C6F636B65643A307C630A5454434E332E6E732E6E73652E313233342E646561643A307C630A5454434E332E6E732E6E73652E313233342E7265706C616365643A307C630A5454434E332E6E732E6E73652E313233342E6E7365692D6368673A307C630A5454434E332E6E732E6E73652E313233342E696E762D6E737663693A307C630A5454434E332E6E732E6E73652E313233342E696E762D6E7365693A307C630A5454434E332E6E732E6E73652E313233342E6C6F73742E616C6976653A307C630A5454434E332E6E732E6E73652E313233342E6C6F73742E72657365743A307C630A5454434E332E7063752E7367736E2E302E72785F706167696E675F63733A307C630A5454434E332E7063752E7367736E2E302E72785F706167696E675F70733A307C630A5454434E332E62737367702E6273735F6374782E313233342E7061636B6574732E696E3A307C63'O ("TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.nsei-chg:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c\nTTCN3.ns.nse.1234.packets.in:0|c\nTTCN3.ns.nse.1234.packets.out:1|c\nTTCN3.ns.nse.1234.packets.out.drop:0|c\nTTCN3.ns.nse.1234.bytes.in:0|c\nTTCN3.ns.nse.1234.bytes.out:12|c\nTTCN3.ns.nse.1234.bytes.out.drop:0|c\nTTCN3.ns.nse.1234.blocked:0|c\nTTCN3.ns.nse.1234.unblocked:0|c\nTTCN3.ns.nse.1234.dead:0|c\nTTCN3.ns.nse.1234.replaced:0|c\nTTCN3.ns.nse.1234.nsei-chg:0|c\nTTCN3.ns.nse.1234.inv-nsvci:0|c\nTTCN3.ns.nse.1234.inv-nsei:0|c\nTTCN3.ns.nse.1234.lost.alive:0|c\nTTCN3.ns.nse.1234.lost.reset:0|c\nTTCN3.pcu.sgsn.0.rx_paging_cs:0|c\nTTCN3.pcu.sgsn.0.rx_paging_ps:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.in:0|c") } id 6 08:56:32.292326 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.nsei-chg:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c\nTTCN3.ns.nse.1234.packets.in:0|c\nTTCN3.ns.nse.1234.packets.out:1|c\nTTCN3.ns.nse.1234.packets.out.drop:0|c\nTTCN3.ns.nse.1234.bytes.in:0|c\nTTCN3.ns.nse.1234.bytes.out:12|c\nTTCN3.ns.nse.1234.bytes.out.drop:0|c\nTTCN3.ns.nse.1234.blocked:0|c\nTTCN3.ns.nse.1234.unblocked:0|c\nTTCN3.ns.nse.1234.dead:0|c\nTTCN3.ns.nse.1234.replaced:0|c\nTTCN3.ns.nse.1234.nsei-chg:0|c\nTTCN3.ns.nse.1234.inv-nsvci:0|c\nTTCN3.ns.nse.1234.inv-nsei:0|c\nTTCN3.ns.nse.1234.lost.alive:0|c\nTTCN3.ns.nse.1234.lost.reset:0|c\nTTCN3.pcu.sgsn.0.rx_paging_cs:0|c\nTTCN3.pcu.sgsn.0.rx_paging_ps:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.in:0|c" 08:56:32.292348 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292353 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.292356 511 StatsD_CodecPort.ttcn:36 match_first result: 73 08:56:32.292360 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292363 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.292367 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292370 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292373 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.292377 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292380 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292384 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292388 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.292391 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292394 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292398 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292402 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.292405 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292409 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292412 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.292416 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292419 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.292422 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292426 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.292429 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292433 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292438 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.292441 511 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:32.292444 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292448 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.292451 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292455 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292458 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.292462 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292465 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292468 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292472 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.292476 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292479 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292483 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292487 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.292490 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292493 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292497 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.292500 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292504 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.292507 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292510 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.292514 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292517 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292522 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.292525 511 StatsD_CodecPort.ttcn:36 match_first result: 73 08:56:32.292528 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292532 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.292535 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292539 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292542 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.292546 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292549 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292552 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292556 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.292559 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292563 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292566 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292571 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.292574 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292577 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292581 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.292584 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292588 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.292591 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292594 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.292598 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292601 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292606 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.292609 511 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:32.292613 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292616 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.292619 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292623 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292626 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.292630 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292633 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292637 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292640 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.292644 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292647 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292650 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292654 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.292657 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292660 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292665 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.292668 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292672 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.292675 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292679 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.292682 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292685 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292689 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.292692 511 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:32.292695 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292699 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.292702 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292706 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292710 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.292714 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292717 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292721 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292724 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.292728 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292731 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292734 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292738 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.292741 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292744 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292748 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.292751 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292754 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.292758 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292762 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.292766 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292769 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292773 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.292776 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.292779 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292783 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.292786 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292790 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292793 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.292796 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292800 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292803 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292807 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.292810 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292813 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292817 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292846 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.292849 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292853 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292856 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.292859 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292863 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.292866 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292870 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.292873 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292876 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292880 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.292883 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.292887 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292890 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.292893 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292897 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292902 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.292905 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292909 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292912 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292916 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.292919 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292923 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292926 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292929 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.292933 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292936 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292939 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.292943 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292946 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.292949 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292953 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.292957 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292961 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292964 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.292968 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:32.292971 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292974 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.292978 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.292981 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292985 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.292988 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.292992 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.292995 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.292999 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293002 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293005 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293009 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293012 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293015 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293019 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293024 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.293027 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293031 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.293034 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293037 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.293041 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293044 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293047 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.293051 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.293054 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293058 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.293061 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293064 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293068 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.293071 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293075 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293078 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293082 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293087 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293090 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293093 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293097 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293100 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293104 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293107 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.293110 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293114 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.293117 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293120 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.293124 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293127 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293130 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.293134 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.293137 511 StatsD_CodecPort.ttcn:36 match_begin data: :12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293140 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.293144 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293147 511 StatsD_CodecPort.ttcn:36 match_first data: 12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293152 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.293155 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293159 511 StatsD_CodecPort.ttcn:36 match_first result: 2 08:56:32.293162 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293166 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293169 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293172 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293176 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293179 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293182 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293185 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293189 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.293192 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293195 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.293199 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293202 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.293205 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293208 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293212 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.293215 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.293220 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293223 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.293226 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293229 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293233 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.293236 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293239 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293243 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293246 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293249 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293253 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293256 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293259 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293262 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293266 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293269 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.293272 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293276 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.293279 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293282 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.293286 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293290 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293293 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.293297 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.293300 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293304 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.293307 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293310 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293314 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.293317 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293321 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293324 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293328 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293331 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293335 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293338 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293341 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293345 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293348 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293351 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.293355 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293358 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.293361 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293366 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.293369 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293372 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293376 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.293379 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.293382 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293386 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.293389 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293392 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293395 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.293399 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293402 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293406 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293409 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293413 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293416 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293420 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293423 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293426 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293429 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293433 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.293436 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293439 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.293443 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293447 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.293450 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293454 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293458 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.293462 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:32.293465 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293469 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.293472 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293476 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293479 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.293482 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293486 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293489 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293492 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293496 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293499 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293502 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293506 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293509 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293512 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293516 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.293519 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293522 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.293526 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293530 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.293534 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293537 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293540 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.293544 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.293547 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293550 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.293554 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293557 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293560 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.293564 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293567 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293571 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293574 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293577 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293581 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293584 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293587 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293591 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293594 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293597 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.293601 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293604 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.293607 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293611 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.293614 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293617 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293622 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.293625 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.293629 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293632 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.293635 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293639 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293642 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.293646 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293649 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293652 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293656 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293659 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293662 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293666 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293669 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293673 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293676 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293680 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.293683 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293687 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.293690 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293693 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.293697 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293700 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293703 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.293707 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.293710 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293714 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.293718 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293721 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293724 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.293728 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293731 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293735 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293738 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293742 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293745 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293748 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293751 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293755 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293758 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293761 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.293765 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293768 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.293771 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293775 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.293778 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293781 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293785 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.293788 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.293791 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293794 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.293798 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293801 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293804 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.293808 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293811 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293815 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293818 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293822 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293827 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293830 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293833 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293837 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293840 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293843 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.293846 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293850 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.293853 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293856 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.293860 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293863 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293866 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.293869 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.293873 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293876 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.293879 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293883 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293886 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.293890 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293893 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293896 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293900 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293903 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293906 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293909 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293912 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293916 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293919 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293922 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.293925 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293929 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.293932 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293935 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.293939 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293943 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293947 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.293950 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.293953 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293957 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.293960 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293964 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293967 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.293971 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293974 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.293978 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293981 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.293985 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.293988 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.293991 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.293995 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.293999 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294002 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294005 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.294009 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294012 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.294016 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294019 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.294022 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294026 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294029 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.294032 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.294035 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294039 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.294042 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294046 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294049 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.294052 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294056 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294059 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294062 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.294066 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294069 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294072 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294076 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.294079 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294082 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294087 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.294090 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294093 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.294097 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294100 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.294104 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294108 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294111 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.294115 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.294118 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294121 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.294125 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294128 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294131 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.294135 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294138 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294142 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294145 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.294148 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294152 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294155 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294158 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.294161 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294165 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294168 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.294171 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294174 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.294178 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294181 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.294184 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294187 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.294190 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.294194 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.294197 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.294200 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.294203 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294207 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.294210 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.294213 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294217 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294220 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.294223 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.294227 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294230 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294233 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.294236 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.294240 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.294243 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.294246 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.294249 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.294252 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.294255 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.294259 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.294262 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.294265 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.294269 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.294273 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.294276 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.294279 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.294282 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.294285 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit } } 08:56:32.294337 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit } } } id 6 08:56:32.294347 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.294370 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit } } } id 6 08:56:32.294377 511 StatsD_Checker.ttcn:199 Message with id 6 was extracted from the queue of STATS. 08:56:32.294447 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E62737367702E6273735F6374782E313233342E7061636B6574732E6F75743A307C630A5454434E332E62737367702E6273735F6374782E313233342E62797465732E696E3A307C630A5454434E332E62737367702E6273735F6374782E313233342E62797465732E6F75743A307C630A5454434E332E62737367702E6273735F6374782E313233342E626C6F636B65643A307C630A5454434E332E62737367702E6273735F6374782E313233342E6469736361726465643A307C630A5454434E332E62737367702E6273735F6374782E313233342E7374617475733A307C630A5454434E332E6274732E302E706463682E616C6C5F616C6C6F63617465643A307C630A5454434E332E6274732E302E7462662E646C2E616C6C6F633A307C630A5454434E332E6274732E302E7462662E646C2E66726565643A307C630A5454434E332E6274732E302E7462662E646C2E61626F727465643A307C630A5454434E332E6274732E302E7462662E756C2E616C6C6F633A377C630A5454434E332E6274732E302E7462662E756C2E66726565643A307C630A5454434E332E6274732E302E7462662E756C2E61626F727465643A307C630A5454434E332E6274732E302E7462662E7265757365643A307C630A5454434E332E6274732E302E7462662E616C6C6F632E616C676F2D613A307C630A5454434E332E6274732E302E7462662E616C6C6F632E616C676F2D623A377C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65643A317C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F7466693A307C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F7573663A317C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F736C6F745F636F6D62693A307C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F736C6F745F617661696C3A307C630A5454434E332E6274732E302E726C632E73656E743A307C630A5454434E332E6274732E302E726C632E726573656E743A307C630A5454434E332E6274732E302E726C632E7265737461727465643A307C630A5454434E332E6274732E302E726C632E7374616C6C65643A307C630A5454434E332E6274732E302E726C632E6E61636B65643A307C630A5454434E332E6274732E302E726C632E66696E616C5F626C6F636B5F726573656E743A307C630A5454434E332E6274732E302E726C632E6173732E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E6173732E6661696C65643A307C63'O ("TTCN3.bssgp.bss_ctx.1234.packets.out:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.in:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.out:0|c\nTTCN3.bssgp.bss_ctx.1234.blocked:0|c\nTTCN3.bssgp.bss_ctx.1234.discarded:0|c\nTTCN3.bssgp.bss_ctx.1234.status:0|c\nTTCN3.bts.0.pdch.all_allocated:0|c\nTTCN3.bts.0.tbf.dl.alloc:0|c\nTTCN3.bts.0.tbf.dl.freed:0|c\nTTCN3.bts.0.tbf.dl.aborted:0|c\nTTCN3.bts.0.tbf.ul.alloc:7|c\nTTCN3.bts.0.tbf.ul.freed:0|c\nTTCN3.bts.0.tbf.ul.aborted:0|c\nTTCN3.bts.0.tbf.reused:0|c\nTTCN3.bts.0.tbf.alloc.algo-a:0|c\nTTCN3.bts.0.tbf.alloc.algo-b:7|c\nTTCN3.bts.0.tbf.alloc.failed:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_usf:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c\nTTCN3.bts.0.rlc.sent:0|c\nTTCN3.bts.0.rlc.resent:0|c\nTTCN3.bts.0.rlc.restarted:0|c\nTTCN3.bts.0.rlc.stalled:0|c\nTTCN3.bts.0.rlc.nacked:0|c\nTTCN3.bts.0.rlc.final_block_resent:0|c\nTTCN3.bts.0.rlc.ass.timedout:0|c\nTTCN3.bts.0.rlc.ass.failed:0|c") } id 7 08:56:32.294457 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bssgp.bss_ctx.1234.packets.out:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.in:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.out:0|c\nTTCN3.bssgp.bss_ctx.1234.blocked:0|c\nTTCN3.bssgp.bss_ctx.1234.discarded:0|c\nTTCN3.bssgp.bss_ctx.1234.status:0|c\nTTCN3.bts.0.pdch.all_allocated:0|c\nTTCN3.bts.0.tbf.dl.alloc:0|c\nTTCN3.bts.0.tbf.dl.freed:0|c\nTTCN3.bts.0.tbf.dl.aborted:0|c\nTTCN3.bts.0.tbf.ul.alloc:7|c\nTTCN3.bts.0.tbf.ul.freed:0|c\nTTCN3.bts.0.tbf.ul.aborted:0|c\nTTCN3.bts.0.tbf.reused:0|c\nTTCN3.bts.0.tbf.alloc.algo-a:0|c\nTTCN3.bts.0.tbf.alloc.algo-b:7|c\nTTCN3.bts.0.tbf.alloc.failed:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_usf:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c\nTTCN3.bts.0.rlc.sent:0|c\nTTCN3.bts.0.rlc.resent:0|c\nTTCN3.bts.0.rlc.restarted:0|c\nTTCN3.bts.0.rlc.stalled:0|c\nTTCN3.bts.0.rlc.nacked:0|c\nTTCN3.bts.0.rlc.final_block_resent:0|c\nTTCN3.bts.0.rlc.ass.timedout:0|c\nTTCN3.bts.0.rlc.ass.failed:0|c" 08:56:32.294480 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294485 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.294488 511 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:32.294492 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294495 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.294498 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294502 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294505 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.294509 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294512 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294516 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294521 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.294524 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294528 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294531 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294534 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.294538 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294541 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294544 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.294548 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294551 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.294554 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294559 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.294562 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294566 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294569 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.294572 511 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:32.294575 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294579 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.294582 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294585 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294589 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.294592 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294596 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294599 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294604 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.294607 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294611 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294614 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294617 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.294620 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294624 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294627 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.294630 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294634 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.294639 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294642 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.294645 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294649 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294652 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.294656 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:32.294659 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294662 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.294666 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294669 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294674 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.294677 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294681 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294684 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294688 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.294691 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294694 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294698 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294701 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.294704 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294708 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294711 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.294714 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294719 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.294722 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294726 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.294729 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294732 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294736 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.294739 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.294742 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294746 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.294749 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294752 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294757 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.294761 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294764 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294767 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294771 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.294774 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294778 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294781 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294784 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.294787 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.294788 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294791 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294792 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 21 } } 08:56:32.294795 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.294797 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.294798 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294803 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.294807 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294810 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.294814 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294817 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294820 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.294824 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:32.294827 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294830 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.294834 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294837 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294842 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.294845 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294846 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 21 } } id 12 08:56:32.294849 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294852 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294856 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.294860 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294863 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294867 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294870 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.294874 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294877 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294881 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:32.294881 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.294884 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294888 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 21 } } id 12 08:56:32.294889 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.294893 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294894 510 PCUIF_Components.ttcn:444 Message with id 12 was extracted from the queue of CLCK. 08:56:32.294896 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.294900 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294902 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 21 } } } 08:56:32.294903 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294907 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.294911 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.294914 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294918 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.294921 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294925 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294929 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.294932 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294936 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294941 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294944 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.294948 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.294951 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294955 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294958 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.294962 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.294965 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294967 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 21 } } } id 21 08:56:32.294968 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.294972 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294976 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.294979 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294984 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.294988 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.294990 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.294992 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.294995 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.294997 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 21 } } } id 21 08:56:32.294999 511 StatsD_CodecPort.ttcn:36 match_first result: 30 08:56:32.295003 509 PCUIF_Components.ttcn:678 Message with id 21 was extracted from the queue of BTS. 08:56:32.295003 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295006 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.295010 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295013 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 21 } } }, id := 0 } 08:56:32.295013 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295017 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.295018 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 21 } } } 08:56:32.295021 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295025 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295027 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000015000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.295028 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295033 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.295037 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295040 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295044 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295047 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.295051 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295054 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295057 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.295061 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295064 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.295068 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295072 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.295075 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295078 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295083 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.295086 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.295090 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295093 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.295095 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000015000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.295097 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295101 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295104 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.295108 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295111 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295115 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295118 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.295122 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295125 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295129 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295133 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.295137 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295140 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295144 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.295147 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295151 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.295154 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295158 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.295161 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295165 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295168 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.295172 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.295175 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295180 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.295183 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295187 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295190 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.295194 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295197 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295201 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295204 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.295208 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295212 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295216 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295219 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.295222 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295226 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295229 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.295232 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295237 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.295240 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295244 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.295247 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295250 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295254 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.295257 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.295260 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295264 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.295267 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295271 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295274 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.295278 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295281 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295284 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295289 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.295293 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295296 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295299 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295302 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.295306 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295309 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295312 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.295316 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295319 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.295323 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295326 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.295329 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295333 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295337 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.295341 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.295344 511 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295347 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.295351 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295354 511 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295358 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.295361 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295364 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295368 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295372 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.295375 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295378 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295381 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295385 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.295388 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295392 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295396 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.295400 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295403 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.295406 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295410 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.295413 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295416 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295420 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.295423 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.295426 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295430 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.295433 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295436 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295441 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.295445 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295448 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295451 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295455 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.295458 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295462 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295465 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295468 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.295472 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295475 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295478 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.295482 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295485 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.295489 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295492 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.295495 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295500 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295503 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.295506 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.295510 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295513 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.295517 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295520 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295523 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.295527 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295530 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295534 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295537 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.295541 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295544 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295547 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295551 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.295554 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295557 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295562 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.295565 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295569 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.295572 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295575 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.295579 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295582 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295586 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.295589 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:32.295592 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295596 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.295599 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295602 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295606 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.295609 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295613 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295616 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295621 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.295624 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295628 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295631 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295634 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.295638 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295641 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295645 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.295648 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295651 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.295655 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295658 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.295662 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295665 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295668 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.295672 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.295676 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295680 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.295683 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295687 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295690 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.295694 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295697 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295701 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295704 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.295708 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295711 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295715 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295718 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.295722 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295725 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295728 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.295732 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295735 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.295738 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295743 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.295746 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295750 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295753 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.295756 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.295760 511 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295763 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.295767 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295770 511 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295773 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.295777 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295780 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295784 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295787 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.295791 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295794 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295797 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295800 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.295804 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295807 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295812 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.295815 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295819 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.295822 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295825 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.295829 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295832 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295835 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.295839 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.295842 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295845 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.295849 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295852 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295856 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.295859 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295863 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295866 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295871 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.295875 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295878 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295881 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295884 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.295888 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295891 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295894 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.295897 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295901 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.295904 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295907 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.295911 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295914 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295918 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.295921 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.295924 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295928 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.295931 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295934 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295939 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.295943 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295946 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295949 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295953 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.295956 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.295960 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295963 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295967 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.295971 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.295974 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295978 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.295981 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295984 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.295987 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.295991 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.295994 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.295997 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296001 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.296004 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.296007 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296010 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.296014 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296017 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296022 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.296025 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296028 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296032 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296035 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.296039 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296042 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296045 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296048 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.296052 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296055 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296058 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.296061 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296065 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.296068 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296071 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.296074 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296078 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296081 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.296084 511 StatsD_CodecPort.ttcn:36 match_first result: 42 08:56:32.296087 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296090 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.296094 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296098 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296101 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.296105 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296108 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296112 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296115 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.296118 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296122 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296125 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296128 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.296131 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296134 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296138 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.296141 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296144 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.296147 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296150 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.296154 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296157 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296160 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.296164 511 StatsD_CodecPort.ttcn:36 match_first result: 42 08:56:32.296167 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296170 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.296174 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296177 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296181 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.296185 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296188 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296192 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296195 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.296198 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296201 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296205 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296208 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.296211 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296214 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296217 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.296221 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296224 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.296227 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296231 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.296234 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296237 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296241 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.296244 511 StatsD_CodecPort.ttcn:36 match_first result: 20 08:56:32.296247 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296251 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.296254 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296258 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296261 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.296264 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296268 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296271 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296275 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.296278 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296283 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296286 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296289 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.296293 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296296 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296300 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.296304 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296307 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.296311 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296314 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.296317 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296321 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296324 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.296327 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:32.296330 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296334 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.296337 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296340 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296344 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.296347 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296350 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296354 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296357 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.296361 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296364 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296367 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296371 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.296374 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296377 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296380 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.296383 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296388 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.296391 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296394 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.296398 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296401 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296404 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.296407 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.296410 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296414 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.296417 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296420 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296423 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.296427 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296430 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296434 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296437 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.296440 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296443 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296447 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296450 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.296453 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296456 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296459 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.296463 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296466 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.296469 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296473 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.296476 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296479 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296483 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.296486 511 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:32.296489 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296493 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.296496 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296499 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296504 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.296507 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296511 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296514 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296517 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.296521 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296524 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296528 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296531 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.296534 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296538 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296541 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.296544 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296548 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.296551 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296555 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.296559 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296562 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296566 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.296569 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:32.296572 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296576 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.296579 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296582 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296586 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.296589 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296593 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296596 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296599 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.296603 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296606 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296609 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296613 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.296616 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296619 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296622 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.296626 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296629 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.296632 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296636 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.296640 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296643 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296646 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.296650 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:32.296653 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296656 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.296659 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296663 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296666 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.296669 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296673 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296676 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296679 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.296683 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296686 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296689 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296692 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.296696 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296699 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296702 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.296705 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296709 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.296712 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296715 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.296719 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296722 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296725 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.296729 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.296732 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296735 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.296739 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296742 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296746 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.296749 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296752 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296756 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296759 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.296763 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296766 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296769 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296773 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.296776 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296779 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296782 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.296786 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296789 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.296792 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296796 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.296799 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296805 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.296808 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.296812 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.296815 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.296818 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.296822 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296825 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.296828 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.296832 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296835 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.296838 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.296842 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.296845 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.296848 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.296852 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.296855 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.296858 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.296861 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.296864 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.296868 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.296871 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.296874 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.296877 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.296881 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.296884 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.296887 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.296890 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.296893 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.296897 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.296900 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.296903 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit } } 08:56:32.296951 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit } } } id 7 08:56:32.296960 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.296982 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit } } } id 7 08:56:32.296991 511 StatsD_Checker.ttcn:199 Message with id 7 was extracted from the queue of STATS. 08:56:32.297064 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E726C632E61636B2E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E61636B2E6661696C65643A307C630A5454434E332E6274732E302E726C632E72656C2E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E6C6174652D626C6F636B3A307C630A5454434E332E6274732E302E726C632E73656E742D64756D6D793A307C630A5454434E332E6274732E302E726C632E73656E742D636F6E74726F6C3A307C630A5454434E332E6274732E302E726C632E646C5F62797465733A307C630A5454434E332E6274732E302E726C632E646C5F7061796C6F61645F62797465733A307C630A5454434E332E6274732E302E726C632E756C5F62797465733A307C630A5454434E332E6274732E302E726C632E756C5F7061796C6F61645F62797465733A307C630A5454434E332E6274732E302E6465636F64652E6572726F72733A307C630A5454434E332E6274732E302E7362612E616C6C6F63617465643A307C630A5454434E332E6274732E302E7362612E66726565643A307C630A5454434E332E6274732E302E7362612E74696D65646F75743A307C630A5454434E332E6274732E302E6C6C632E74696D656F75743A307C630A5454434E332E6274732E302E6C6C632E64726F707065643A307C630A5454434E332E6274732E302E6C6C632E7363686564756C65643A307C630A5454434E332E6274732E302E6C6C632E646C5F62797465733A307C630A5454434E332E6274732E302E6C6C632E756C5F62797465733A307C630A5454434E332E6274732E302E7063682E72657175657374733A307C630A5454434E332E6274732E302E7063682E72657175657374732E616C72656164793A307C630A5454434E332E6274732E302E7063682E72657175657374732E74696D656F75743A307C630A5454434E332E6274732E302E726163682E72657175657374733A387C630A5454434E332E6274732E302E726163682E72657175657374732E31316269743A387C630A5454434E332E6274732E302E726163682E72657175657374732E6F6E655F70686173653A387C630A5454434E332E6274732E302E726163682E72657175657374732E74776F5F70686173653A307C630A5454434E332E6274732E302E726163682E72657175657374732E756E65787065637465643A307C630A5454434E332E6274732E302E7370622E75706C696E6B5F66697273745F7365676D656E743A307C630A5454434E332E6274732E302E7370622E75706C696E6B5F7365636F6E645F7365676D656E743A307C63'O ("TTCN3.bts.0.rlc.ack.timedout:0|c\nTTCN3.bts.0.rlc.ack.failed:0|c\nTTCN3.bts.0.rlc.rel.timedout:0|c\nTTCN3.bts.0.rlc.late-block:0|c\nTTCN3.bts.0.rlc.sent-dummy:0|c\nTTCN3.bts.0.rlc.sent-control:0|c\nTTCN3.bts.0.rlc.dl_bytes:0|c\nTTCN3.bts.0.rlc.dl_payload_bytes:0|c\nTTCN3.bts.0.rlc.ul_bytes:0|c\nTTCN3.bts.0.rlc.ul_payload_bytes:0|c\nTTCN3.bts.0.decode.errors:0|c\nTTCN3.bts.0.sba.allocated:0|c\nTTCN3.bts.0.sba.freed:0|c\nTTCN3.bts.0.sba.timedout:0|c\nTTCN3.bts.0.llc.timeout:0|c\nTTCN3.bts.0.llc.dropped:0|c\nTTCN3.bts.0.llc.scheduled:0|c\nTTCN3.bts.0.llc.dl_bytes:0|c\nTTCN3.bts.0.llc.ul_bytes:0|c\nTTCN3.bts.0.pch.requests:0|c\nTTCN3.bts.0.pch.requests.already:0|c\nTTCN3.bts.0.pch.requests.timeout:0|c\nTTCN3.bts.0.rach.requests:8|c\nTTCN3.bts.0.rach.requests.11bit:8|c\nTTCN3.bts.0.rach.requests.one_phase:8|c\nTTCN3.bts.0.rach.requests.two_phase:0|c\nTTCN3.bts.0.rach.requests.unexpected:0|c\nTTCN3.bts.0.spb.uplink_first_segment:0|c\nTTCN3.bts.0.spb.uplink_second_segment:0|c") } id 8 08:56:32.297074 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.rlc.ack.timedout:0|c\nTTCN3.bts.0.rlc.ack.failed:0|c\nTTCN3.bts.0.rlc.rel.timedout:0|c\nTTCN3.bts.0.rlc.late-block:0|c\nTTCN3.bts.0.rlc.sent-dummy:0|c\nTTCN3.bts.0.rlc.sent-control:0|c\nTTCN3.bts.0.rlc.dl_bytes:0|c\nTTCN3.bts.0.rlc.dl_payload_bytes:0|c\nTTCN3.bts.0.rlc.ul_bytes:0|c\nTTCN3.bts.0.rlc.ul_payload_bytes:0|c\nTTCN3.bts.0.decode.errors:0|c\nTTCN3.bts.0.sba.allocated:0|c\nTTCN3.bts.0.sba.freed:0|c\nTTCN3.bts.0.sba.timedout:0|c\nTTCN3.bts.0.llc.timeout:0|c\nTTCN3.bts.0.llc.dropped:0|c\nTTCN3.bts.0.llc.scheduled:0|c\nTTCN3.bts.0.llc.dl_bytes:0|c\nTTCN3.bts.0.llc.ul_bytes:0|c\nTTCN3.bts.0.pch.requests:0|c\nTTCN3.bts.0.pch.requests.already:0|c\nTTCN3.bts.0.pch.requests.timeout:0|c\nTTCN3.bts.0.rach.requests:8|c\nTTCN3.bts.0.rach.requests.11bit:8|c\nTTCN3.bts.0.rach.requests.one_phase:8|c\nTTCN3.bts.0.rach.requests.two_phase:0|c\nTTCN3.bts.0.rach.requests.unexpected:0|c\nTTCN3.bts.0.spb.uplink_first_segment:0|c\nTTCN3.bts.0.spb.uplink_second_segment:0|c" 08:56:32.297096 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297100 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.297103 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.297107 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297112 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.297115 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297119 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297122 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.297126 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297129 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297133 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297136 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.297140 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297143 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297146 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297150 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.297153 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297157 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297161 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.297165 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297168 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.297171 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297175 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.297178 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297181 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297185 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.297188 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.297191 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297196 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.297199 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297202 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297206 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.297209 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297212 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297216 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297219 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.297223 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297226 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297229 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297234 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.297237 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297241 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297244 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.297247 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297251 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.297254 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297257 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.297261 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297264 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297268 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.297272 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.297275 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297278 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.297282 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297285 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297288 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.297292 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297295 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297299 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297302 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.297306 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297309 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297312 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297317 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.297320 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297323 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297327 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.297330 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297333 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.297336 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297340 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.297343 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297346 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297351 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.297354 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.297357 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297361 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.297364 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297367 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297371 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.297374 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297377 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297381 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297384 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.297388 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297391 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297394 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297399 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.297402 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297405 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297409 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.297412 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297415 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.297419 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297422 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.297425 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297429 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297434 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.297437 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.297440 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297444 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.297447 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297450 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297454 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.297457 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297460 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297464 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297467 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.297470 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297474 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297477 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297481 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.297485 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297488 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297491 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.297494 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297498 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.297501 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297504 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.297508 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297511 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297516 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.297519 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.297522 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297526 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.297529 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297532 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297536 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.297539 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297542 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297546 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297549 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.297553 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297556 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297559 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297562 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.297567 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297570 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297573 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.297577 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297580 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.297584 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297587 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.297591 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297594 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297597 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.297601 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.297604 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297609 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.297612 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297615 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297619 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.297622 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297626 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297629 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297633 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.297636 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297639 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297643 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297646 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.297650 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297653 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297657 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.297661 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297664 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.297668 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297671 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.297674 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297678 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297681 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.297685 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.297688 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297691 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.297695 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297698 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297702 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.297706 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297709 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297713 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297716 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.297720 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297723 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297726 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297730 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.297733 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297736 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297740 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.297743 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297748 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.297752 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297755 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.297759 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297762 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297766 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.297769 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.297772 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297776 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.297779 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297783 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297786 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.297790 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297793 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297796 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297801 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.297805 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297808 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297811 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297814 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.297818 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297821 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297824 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.297828 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297831 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.297834 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297838 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.297841 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297844 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297849 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.297853 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.297856 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297859 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.297863 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297866 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297870 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.297873 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297876 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297880 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297883 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.297887 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297890 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297893 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297897 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.297900 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297905 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297908 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.297911 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297915 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.297918 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297922 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.297925 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297929 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297932 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.297935 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.297939 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297942 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.297945 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297949 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297953 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.297957 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297960 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297964 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297968 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.297971 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.297975 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.297978 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297981 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.297985 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.297988 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297992 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.297995 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.297998 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.298002 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298007 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.298010 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298013 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298017 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.298020 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.298023 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298027 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.298030 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298033 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298037 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.298040 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298044 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298047 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298051 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.298054 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298057 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298061 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298065 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.298068 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298072 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298075 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.298078 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298082 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.298085 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298089 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.298092 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298095 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298099 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.298102 511 StatsD_CodecPort.ttcn:36 match_first result: 21 08:56:32.298106 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298109 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.298112 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298117 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298121 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.298124 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298128 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298131 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298135 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.298138 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298141 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298145 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298148 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.298152 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298155 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298158 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.298162 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298165 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.298168 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298173 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.298176 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298180 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298183 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.298186 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.298190 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298193 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.298197 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298200 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298204 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.298207 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298210 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298214 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298218 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.298221 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298224 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298228 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298231 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.298234 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298239 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298243 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.298246 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298249 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.298253 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298256 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.298259 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298263 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298266 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.298270 511 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:32.298273 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298276 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.298280 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298283 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298286 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.298290 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298293 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298304 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298308 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.298312 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298315 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298318 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298322 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.298326 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298329 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298332 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.298336 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298339 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.298343 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298346 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.298350 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298353 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298356 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.298360 511 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:32.298363 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298368 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.298371 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298376 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298379 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.298383 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298386 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298390 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298393 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.298397 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298400 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298403 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298407 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.298410 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298413 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298417 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.298420 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298423 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.298427 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298431 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.298435 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298438 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298442 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.298445 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.298448 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298452 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.298455 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298459 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298463 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.298466 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298469 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298473 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298476 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.298480 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298483 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298486 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298490 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.298493 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298496 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298501 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.298504 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298508 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.298511 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298514 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.298518 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298521 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298524 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.298528 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.298531 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298534 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.298538 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298541 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298545 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.298548 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298551 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298555 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298558 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.298562 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298565 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298568 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298573 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.298576 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298580 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298583 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.298586 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298589 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.298593 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298596 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.298600 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298603 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298606 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.298610 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.298613 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298616 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.298620 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298623 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298627 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.298630 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298634 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298637 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298642 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.298646 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298649 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298652 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298656 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.298659 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298662 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298666 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.298669 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298673 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.298676 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298679 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.298682 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298686 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298689 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.298692 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.298696 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298699 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.298702 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298706 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298709 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.298714 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298717 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298720 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298724 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.298727 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298730 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298734 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298737 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.298740 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298744 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298747 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.298750 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298753 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.298757 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298760 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.298763 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298767 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298770 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.298773 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.298777 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298780 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.298783 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298787 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298791 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.298795 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298798 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298802 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298805 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.298808 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298812 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298815 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298818 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.298821 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298825 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298828 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.298831 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298835 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.298838 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298841 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.298844 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298848 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298851 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.298854 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.298858 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298861 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.298864 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298868 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298871 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.298875 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298879 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298883 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298886 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.298889 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298893 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298896 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298899 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.298903 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298906 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298909 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.298913 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298916 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.298919 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298922 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.298926 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298929 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298932 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.298936 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.298939 511 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298942 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.298946 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298949 511 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298952 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.298956 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298959 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298962 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298966 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.298970 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.298974 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.298977 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298980 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.298984 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.298987 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298990 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.298994 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.298997 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.299000 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299003 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.299007 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299010 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299013 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.299017 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.299020 511 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299023 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.299027 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299030 511 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299033 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.299037 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299040 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299044 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299047 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.299051 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299054 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299057 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299060 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.299064 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299067 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299071 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.299075 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299078 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.299081 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299085 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.299088 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299091 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299094 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.299098 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.299101 511 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299105 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.299108 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299112 511 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299115 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.299118 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299122 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299125 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299128 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.299132 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299135 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299138 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299142 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.299145 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299148 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299151 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.299155 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299158 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.299161 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299165 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.299168 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299171 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299175 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.299178 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.299182 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299186 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.299189 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299192 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299196 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.299199 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299202 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299206 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299209 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.299213 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299216 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299219 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299222 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.299226 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299229 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299232 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.299235 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299239 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.299242 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299246 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.299249 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299252 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299256 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.299259 511 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:32.299262 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299266 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.299269 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299272 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299276 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.299279 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299283 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299286 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299289 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.299293 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299296 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299299 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299303 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.299306 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299309 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299312 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.299316 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299320 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.299323 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299326 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.299330 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299333 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299336 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.299339 511 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:32.299343 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299346 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.299349 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299353 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299356 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.299359 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299363 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299366 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299369 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.299373 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299376 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299379 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299383 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.299386 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299389 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299392 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.299396 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299399 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.299403 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299406 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.299409 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299413 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.299416 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.299416 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.299420 511 StatsD_CodecPort.ttcn:36 match_first result: 37 08:56:32.299421 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.299424 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.299427 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.299431 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299435 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.299438 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.299441 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299445 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299448 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.299451 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.299455 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299458 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299461 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.299464 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.299468 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.299471 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.299474 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.299477 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.299480 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.299484 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.299487 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.299490 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.299493 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.299496 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.299500 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.299504 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.299508 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.299511 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.299514 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit } } 08:56:32.299561 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit } } } id 8 08:56:32.299570 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.299593 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit } } } id 8 08:56:32.299600 511 StatsD_Checker.ttcn:199 Message with id 8 was extracted from the queue of STATS. 08:56:32.299662 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 } 08:56:32.299684 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 } 08:56:32.299694 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 } 08:56:32.299710 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 } 08:56:32.299719 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 } 08:56:32.299783 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E7370622E646F776E6C696E6B5F66697273745F7365676D656E743A307C630A5454434E332E6274732E302E7370622E646F776E6C696E6B5F7365636F6E645F7365676D656E743A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F554C3A377C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E6F6E655F70686173653A377C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E74776F5F70686173653A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E636F6E74656E74696F6E5F7265736F6C7574696F6E5F737563636573733A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F72656A3A317C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F444C3A307C630A5454434E332E6274732E302E6368616E6E656C2E726571756573745F6465736372697074696F6E3A307C630A5454434E332E6274732E302E706B742E756C5F61737369676E6D656E743A307C630A5454434E332E6274732E302E706B742E6163636573735F72656A6563743A307C630A5454434E332E6274732E302E706B742E646C5F61737369676E6D656E743A307C630A5454434E332E6274732E302E706B742E63656C6C5F6368675F6E6F74696669636174696F6E3A307C630A5454434E332E6274732E302E706B742E63656C6C5F6368675F636F6E74696E75653A307C630A5454434E332E6274732E302E706B742E6E656967685F63656C6C5F646174613A307C630A5454434E332E6274732E302E756C2E636F6E74726F6C3A307C630A5454434E332E6274732E302E756C2E61737369676E6D656E745F706F6C6C5F74696D656F75743A307C630A5454434E332E6274732E302E756C2E61737369676E6D656E745F6661696C65643A307C630A5454434E332E6274732E302E646C2E61737369676E6D656E745F74696D656F75743A307C630A5454434E332E6274732E302E646C2E61737369676E6D656E745F6661696C65643A307C630A5454434E332E6274732E302E706B742E756C5F61636B5F6E61636B5F74696D656F75743A307C630A5454434E332E6274732E302E706B742E756C5F61636B5F6E61636B5F6661696C65643A307C630A5454434E332E6274732E302E706B742E646C5F61636B5F6E61636B5F74696D656F75743A307C630A5454434E332E6274732E302E706B742E646C5F61636B5F6E61636B5F6661696C65643A307C63'O ("TTCN3.bts.0.spb.downlink_first_segment:0|c\nTTCN3.bts.0.spb.downlink_second_segment:0|c\nTTCN3.bts.0.immediate.assignment_UL:7|c\nTTCN3.bts.0.immediate.assignment_ul.one_phase:7|c\nTTCN3.bts.0.immediate.assignment_ul.two_phase:0|c\nTTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c\nTTCN3.bts.0.immediate.assignment_rej:1|c\nTTCN3.bts.0.immediate.assignment_DL:0|c\nTTCN3.bts.0.channel.request_description:0|c\nTTCN3.bts.0.pkt.ul_assignment:0|c\nTTCN3.bts.0.pkt.access_reject:0|c\nTTCN3.bts.0.pkt.dl_assignment:0|c\nTTCN3.bts.0.pkt.cell_chg_notification:0|c\nTTCN3.bts.0.pkt.cell_chg_continue:0|c\nTTCN3.bts.0.pkt.neigh_cell_data:0|c\nTTCN3.bts.0.ul.control:0|c\nTTCN3.bts.0.ul.assignment_poll_timeout:0|c\nTTCN3.bts.0.ul.assignment_failed:0|c\nTTCN3.bts.0.dl.assignment_timeout:0|c\nTTCN3.bts.0.dl.assignment_failed:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_failed:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_failed:0|c") } id 9 08:56:32.299795 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.spb.downlink_first_segment:0|c\nTTCN3.bts.0.spb.downlink_second_segment:0|c\nTTCN3.bts.0.immediate.assignment_UL:7|c\nTTCN3.bts.0.immediate.assignment_ul.one_phase:7|c\nTTCN3.bts.0.immediate.assignment_ul.two_phase:0|c\nTTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c\nTTCN3.bts.0.immediate.assignment_rej:1|c\nTTCN3.bts.0.immediate.assignment_DL:0|c\nTTCN3.bts.0.channel.request_description:0|c\nTTCN3.bts.0.pkt.ul_assignment:0|c\nTTCN3.bts.0.pkt.access_reject:0|c\nTTCN3.bts.0.pkt.dl_assignment:0|c\nTTCN3.bts.0.pkt.cell_chg_notification:0|c\nTTCN3.bts.0.pkt.cell_chg_continue:0|c\nTTCN3.bts.0.pkt.neigh_cell_data:0|c\nTTCN3.bts.0.ul.control:0|c\nTTCN3.bts.0.ul.assignment_poll_timeout:0|c\nTTCN3.bts.0.ul.assignment_failed:0|c\nTTCN3.bts.0.dl.assignment_timeout:0|c\nTTCN3.bts.0.dl.assignment_failed:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_failed:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_failed:0|c" 08:56:32.299819 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299823 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.299826 511 StatsD_CodecPort.ttcn:36 match_first result: 38 08:56:32.299830 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299833 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.299836 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299840 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299846 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.299850 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299853 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299857 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299860 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.299864 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299867 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299871 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299874 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.299877 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299881 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299885 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.299889 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299892 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.299895 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299899 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.299902 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299906 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299909 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.299912 511 StatsD_CodecPort.ttcn:36 match_first result: 39 08:56:32.299915 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299920 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.299923 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299927 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299930 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.299934 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299937 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299940 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299944 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.299947 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.299951 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299954 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299957 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.299960 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.299964 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299969 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.299972 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299976 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.299979 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299982 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.299986 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.299989 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.299992 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.299996 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.299999 511 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300003 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.300007 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300010 511 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300014 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.300017 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300020 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300024 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300027 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.300031 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300034 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300037 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300041 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.300044 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300048 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300052 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.300055 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300059 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.300062 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300065 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.300069 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300072 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300075 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.300079 511 StatsD_CodecPort.ttcn:36 match_first result: 45 08:56:32.300082 511 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300087 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.300090 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300094 511 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300097 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.300101 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300104 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300107 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300111 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.300115 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300118 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300121 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300125 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.300128 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300132 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300136 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.300139 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300143 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.300146 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300151 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.300154 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300157 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300161 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.300164 511 StatsD_CodecPort.ttcn:36 match_first result: 45 08:56:32.300167 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300172 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.300175 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300179 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300182 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.300186 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300189 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300193 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300196 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.300200 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300203 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300206 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300210 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.300213 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300216 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300221 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.300224 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300228 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.300231 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300234 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.300238 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300241 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300244 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.300248 511 StatsD_CodecPort.ttcn:36 match_first result: 65 08:56:32.300251 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300255 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.300258 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300261 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300266 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.300269 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300273 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300277 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300281 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.300284 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300287 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300291 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300294 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.300297 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300301 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300304 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.300307 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300312 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.300316 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300319 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.300322 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300326 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300329 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.300333 511 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:32.300336 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300339 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.300343 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300346 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300349 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.300353 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300356 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300360 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300364 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.300368 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300371 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300374 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300378 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.300381 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300384 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300388 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.300391 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300394 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.300398 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300401 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.300404 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300408 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300412 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.300416 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.300419 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300423 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.300426 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300429 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300433 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.300436 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300440 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300443 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300446 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.300450 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300453 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300456 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300460 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.300463 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300466 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300471 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.300474 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300478 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.300481 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300485 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.300488 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300492 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300495 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.300498 511 StatsD_CodecPort.ttcn:36 match_first result: 39 08:56:32.300502 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300505 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.300509 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300512 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300517 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.300521 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300524 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300528 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300531 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.300535 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300538 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300541 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300545 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.300548 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300551 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300555 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.300558 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300561 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.300565 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300568 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.300571 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300575 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300579 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.300583 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.300586 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300589 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.300593 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300596 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300600 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.300603 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300606 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300610 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300614 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.300617 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300620 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300624 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300627 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.300630 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300633 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300638 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.300641 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300645 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.300648 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300651 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.300655 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300658 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300661 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.300665 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.300668 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300672 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.300675 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300678 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300682 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.300685 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300689 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300692 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300697 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.300700 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300704 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300707 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300710 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.300714 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300717 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300720 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.300724 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300727 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.300730 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300734 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.300737 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300741 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300744 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.300747 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.300751 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300755 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.300758 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300762 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300765 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.300769 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300772 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300776 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300779 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.300783 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300786 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300789 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300793 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.300796 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300799 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300803 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.300806 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300809 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.300813 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300816 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.300820 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300823 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300830 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.300833 511 StatsD_CodecPort.ttcn:36 match_first result: 37 08:56:32.300837 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300840 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.300844 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300847 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300850 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.300854 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300857 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300861 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300865 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.300868 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300872 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300875 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300878 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.300882 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300885 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300888 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.300892 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300896 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.300899 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300903 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.300906 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300910 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300913 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.300916 511 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:32.300920 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300923 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.300926 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300930 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300933 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.300937 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300940 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300943 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300947 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.300950 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.300953 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300957 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300960 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.300963 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.300966 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300971 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.300974 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300977 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.300981 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300984 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.300987 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.300991 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.300994 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.300997 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.301001 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301004 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.301007 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301011 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301014 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.301018 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301021 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301025 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301028 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.301031 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301035 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301038 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301041 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.301044 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301049 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301052 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.301055 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301059 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.301062 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301065 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.301069 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301072 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301075 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.301079 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:32.301082 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301085 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.301089 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301092 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301097 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.301100 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301104 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301107 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301111 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.301114 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301118 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301121 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301124 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.301129 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301132 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301135 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.301139 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301142 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.301145 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301148 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.301152 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301155 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301158 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.301161 511 StatsD_CodecPort.ttcn:36 match_first result: 38 08:56:32.301165 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301168 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.301171 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301175 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301178 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.301181 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301184 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301188 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301191 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.301195 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301198 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301201 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301204 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.301208 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301211 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301215 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.301218 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301222 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.301225 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301228 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.301231 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301235 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301238 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.301241 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.301244 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301248 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.301251 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301254 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301258 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.301261 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301264 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301268 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301271 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.301275 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301278 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301281 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301284 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.301288 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301291 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301294 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.301297 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301302 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.301305 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301309 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.301312 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301315 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301319 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.301322 511 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:32.301325 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301329 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.301332 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301336 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301339 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.301342 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301346 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301349 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301353 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.301356 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301360 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301363 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301366 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.301370 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301373 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301376 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.301380 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301383 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.301386 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301390 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.301394 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301398 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301401 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.301404 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.301408 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301412 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.301415 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301419 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301422 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.301426 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301429 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301432 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301436 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.301439 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301442 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301446 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301449 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.301452 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301455 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301458 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.301462 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301465 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.301468 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301471 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.301475 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301478 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301481 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.301484 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.301488 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301491 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.301494 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301498 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301501 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.301504 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301507 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301511 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301514 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.301518 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301521 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301524 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301527 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.301531 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301535 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301538 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.301541 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301545 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.301548 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301551 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.301555 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301558 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301561 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.301565 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:32.301568 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301571 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.301575 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301578 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301582 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.301585 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301588 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301592 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301595 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.301599 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301602 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301605 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301608 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.301612 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301615 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301618 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.301621 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301625 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.301628 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301631 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.301635 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301638 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301641 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.301645 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.301648 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301651 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.301655 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301658 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301661 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.301665 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301668 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301672 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301675 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.301679 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301682 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301686 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301690 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.301693 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301696 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301700 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.301703 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301706 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.301709 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301713 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.301717 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301720 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.301724 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.301727 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:32.301730 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.301733 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.301737 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301740 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.301743 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.301747 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301750 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.301753 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.301756 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.301760 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.301763 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.301767 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.301770 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.301773 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.301776 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.301779 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.301783 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.301786 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.301789 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.301793 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.301796 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.301799 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.301803 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.301807 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.301810 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.301814 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.301817 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.301820 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_failed", val := 0, mtype := "c", srate := omit } } 08:56:32.301868 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_failed", val := 0, mtype := "c", srate := omit } } } id 9 08:56:32.301876 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.301899 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_failed", val := 0, mtype := "c", srate := omit } } } id 9 08:56:32.301907 511 StatsD_Checker.ttcn:199 Message with id 9 was extracted from the queue of STATS. 08:56:32.301916 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 } 08:56:32.301927 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 } 08:56:32.301937 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 } 08:56:32.301946 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 } 08:56:32.301957 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 } 08:56:32.301967 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } 08:56:32.302029 511 StatsD_Checker.ttcn:244 Stop timer T_statsd: 5 s 08:56:32.302063 511 StatsD_Checker.ttcn:126 Replied on STATSD_PROC to mtc @StatsD_Checker.STATSD_expect : { } value true 08:56:32.302114 511 StatsD_Checker.ttcn:119 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373313A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373323A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373333A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373343A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373313A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373323A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373333A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373343A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373353A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373363A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373373A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373383A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373393A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373313A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373323A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373333A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373343A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373313A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373323A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373333A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373343A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373353A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373363A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373373A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373383A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373393A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F33315F31302E32333030302E616C6976652E64656C61793A307C67'O ("TTCN3.bts.0.gprs.downlink_cs1:0|c\nTTCN3.bts.0.gprs.downlink_cs2:0|c\nTTCN3.bts.0.gprs.downlink_cs3:0|c\nTTCN3.bts.0.gprs.downlink_cs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs1:0|c\nTTCN3.bts.0.egprs.downlink_mcs2:0|c\nTTCN3.bts.0.egprs.downlink_mcs3:0|c\nTTCN3.bts.0.egprs.downlink_mcs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs5:0|c\nTTCN3.bts.0.egprs.downlink_mcs6:0|c\nTTCN3.bts.0.egprs.downlink_mcs7:0|c\nTTCN3.bts.0.egprs.downlink_mcs8:0|c\nTTCN3.bts.0.egprs.downlink_mcs9:0|c\nTTCN3.bts.0.gprs.uplink_cs1:0|c\nTTCN3.bts.0.gprs.uplink_cs2:0|c\nTTCN3.bts.0.gprs.uplink_cs3:0|c\nTTCN3.bts.0.gprs.uplink_cs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs1:0|c\nTTCN3.bts.0.egprs.uplink_mcs2:0|c\nTTCN3.bts.0.egprs.uplink_mcs3:0|c\nTTCN3.bts.0.egprs.uplink_mcs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs5:0|c\nTTCN3.bts.0.egprs.uplink_mcs6:0|c\nTTCN3.bts.0.egprs.uplink_mcs7:0|c\nTTCN3.bts.0.egprs.uplink_mcs8:0|c\nTTCN3.bts.0.egprs.uplink_mcs9:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g") } id 10 08:56:32.302126 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.gprs.downlink_cs1:0|c\nTTCN3.bts.0.gprs.downlink_cs2:0|c\nTTCN3.bts.0.gprs.downlink_cs3:0|c\nTTCN3.bts.0.gprs.downlink_cs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs1:0|c\nTTCN3.bts.0.egprs.downlink_mcs2:0|c\nTTCN3.bts.0.egprs.downlink_mcs3:0|c\nTTCN3.bts.0.egprs.downlink_mcs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs5:0|c\nTTCN3.bts.0.egprs.downlink_mcs6:0|c\nTTCN3.bts.0.egprs.downlink_mcs7:0|c\nTTCN3.bts.0.egprs.downlink_mcs8:0|c\nTTCN3.bts.0.egprs.downlink_mcs9:0|c\nTTCN3.bts.0.gprs.uplink_cs1:0|c\nTTCN3.bts.0.gprs.uplink_cs2:0|c\nTTCN3.bts.0.gprs.uplink_cs3:0|c\nTTCN3.bts.0.gprs.uplink_cs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs1:0|c\nTTCN3.bts.0.egprs.uplink_mcs2:0|c\nTTCN3.bts.0.egprs.uplink_mcs3:0|c\nTTCN3.bts.0.egprs.uplink_mcs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs5:0|c\nTTCN3.bts.0.egprs.uplink_mcs6:0|c\nTTCN3.bts.0.egprs.uplink_mcs7:0|c\nTTCN3.bts.0.egprs.uplink_mcs8:0|c\nTTCN3.bts.0.egprs.uplink_mcs9:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g" 08:56:32.302128 mtc StatsD_Checker.ttcn:274 Reply enqueued on STATSD_PROC from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511) @StatsD_Checker.STATSD_expect : { } value true id 2 08:56:32.302150 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302154 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.302154 mtc StatsD_Checker.ttcn:275 Matching on port STATSD_PROC succeeded: { } with { } matched value true with ? matched 08:56:32.302158 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.302161 mtc StatsD_Checker.ttcn:275 Getreply operation on port STATSD_PROC succeeded, reply from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511): @StatsD_Checker.STATSD_expect : { } value true id 1 08:56:32.302162 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302166 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.302170 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302172 mtc StatsD_Checker.ttcn:275 Operation with id 2 was extracted from the queue of STATSD_PROC. 08:56:32.302173 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302177 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.302178 mtc GPRS_Components.ttcn:215 setverdict(pass): pass -> pass, component reason not changed 08:56:32.302181 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302185 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302186 mtc GPRS_Components.ttcn:219 Sent on BTS to BTS(510) @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } 08:56:32.302188 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302194 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.302197 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302200 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302204 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302207 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.302211 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302214 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302217 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.302221 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302224 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.302227 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302232 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.302235 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302238 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302241 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.302245 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.302248 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302251 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.302255 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302258 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302262 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.302265 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302268 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302271 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } id 9 08:56:32.302272 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302276 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.302280 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302283 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302287 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302290 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.302293 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302299 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302304 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.302308 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302313 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.302316 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302319 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.302323 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302324 510 PCUIF_Components.ttcn:335 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:32.302326 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302330 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.302332 510 PCUIF_Components.ttcn:343 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:32.302333 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.302337 510 PCUIF_Components.ttcn:347 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:32.302337 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302341 510 PCUIF_Components.ttcn:352 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:32.302341 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.302345 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302348 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302353 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.302354 510 PCUIF_Components.ttcn:605 Matching on port TC succeeded: matched 08:56:32.302357 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302360 510 PCUIF_Components.ttcn:605 Receive operation on port TC succeeded, message from mtc: @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } id 9 08:56:32.302361 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302365 510 PCUIF_Components.ttcn:605 Message with id 9 was extracted from the queue of TC. 08:56:32.302365 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302368 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.302371 510 PCUIF_Components.ttcn:606 Shutting down virtual BTS #0... 08:56:32.302373 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302377 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302380 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302383 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.302386 510 PCUIF_Components.ttcn:607 Stopping PTC with component reference 512. 08:56:32.302386 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302390 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302393 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.302397 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302402 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.302405 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302408 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.302412 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302415 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302418 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.302422 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.302425 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302428 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.302431 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302435 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302439 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.302443 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302446 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302450 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302451 512 PCUIF_Components.ttcn:256 Stop was requested from MC. 08:56:32.302453 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.302456 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302460 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302462 512 PCUIF_Components.ttcn:256 Stopping test component execution. 08:56:32.302463 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302467 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.302470 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302473 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302476 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.302480 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302485 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.302488 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302492 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.302495 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302498 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302501 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.302505 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.302508 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302511 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.302514 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302518 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302523 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.302527 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302530 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302533 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302537 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.302540 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302543 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302547 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302550 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.302553 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302557 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302560 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.302563 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302569 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.302572 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302575 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.302579 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302582 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302585 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.302588 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.302592 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302595 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.302598 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302601 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302606 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.302607 512 - Function f_ClckGen_CT_handler was stopped. PTC remains alive and is waiting for next start. 08:56:32.302609 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302613 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302616 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302619 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.302623 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302626 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302629 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302632 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.302636 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302640 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302644 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.302647 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302652 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.302655 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302658 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.302662 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302665 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302668 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.302672 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.302675 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302678 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.302682 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302685 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302689 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.302692 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302695 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302699 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302704 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.302706 510 PCUIF_Components.ttcn:607 PTC with component reference 512 was stopped. 08:56:32.302707 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302710 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302714 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302717 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.302721 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302724 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302727 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.302731 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302734 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.302737 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302762 510 - Function f_BTS_CT_handler finished. PTC remains alive and is waiting for next start. 08:56:32.302776 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.302780 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302783 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302787 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.302790 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.302793 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302797 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.302800 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302804 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302807 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.302810 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302814 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302817 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302821 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.302824 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302828 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302831 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302836 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.302839 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302842 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302846 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.302849 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302852 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.302856 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302859 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.302862 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302866 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302870 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.302874 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.302877 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302880 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.302884 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302887 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302891 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.302894 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302898 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302900 mtc GPRS_Components.ttcn:220 PTC with component reference 510 is done. 08:56:32.302901 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302905 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.302908 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302912 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302915 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302919 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.302921 mtc GPRS_Components.ttcn:223 Stopping all components. 08:56:32.302922 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302926 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302931 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.302934 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302938 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.302941 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302944 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.302948 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302951 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302955 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.302958 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.302961 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302965 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.302968 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.302972 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302976 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.302980 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302983 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.302987 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.302990 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.302994 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.302997 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303001 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303004 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303007 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303011 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303014 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303017 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303021 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.303024 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303027 509 PCUIF_Components.ttcn:653 Stop was requested from MC. 08:56:32.303029 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.303032 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303036 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303040 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.303043 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.303046 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303048 509 PCUIF_Components.ttcn:653 Stopping test component execution. 08:56:32.303050 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.303053 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303057 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303060 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.303064 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303067 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303070 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303074 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.303077 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303080 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303084 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303088 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303092 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303095 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303098 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303102 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303105 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.303108 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303112 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.303115 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303118 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303122 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.303125 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.303128 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303132 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.303135 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303140 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303144 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.303147 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303150 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303154 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303157 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.303161 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303164 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303167 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303171 509 - Function f_PCUIF_CT_handler was stopped. PTC remains alive and is waiting for next start. 08:56:32.303171 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303174 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303178 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303181 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303184 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303188 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.303191 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303202 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.303206 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303209 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303212 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.303216 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.303219 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303222 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.303226 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303229 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303233 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.303236 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303239 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303243 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303246 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.303250 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303253 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303256 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303260 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303263 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303269 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303272 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303275 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303279 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.303282 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303285 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.303288 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303292 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303295 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.303298 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.303301 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303305 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.303308 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303312 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303315 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.303318 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303322 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303327 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303331 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.303334 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303338 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303341 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303344 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303347 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303350 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303354 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303357 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303360 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.303364 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303367 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.303370 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303373 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303377 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.303380 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.303383 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303388 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.303391 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303394 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303398 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.303401 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303404 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303408 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303411 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.303415 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303418 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303421 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303424 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303428 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303431 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303434 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303437 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303441 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.303444 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303448 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.303452 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303455 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303458 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.303461 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.303464 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303468 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.303471 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303474 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303477 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.303481 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303484 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303487 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303491 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.303494 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303497 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303500 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303503 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303507 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303510 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303513 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303517 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303520 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.303524 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303527 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.303530 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303533 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303536 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.303539 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.303543 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303546 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.303549 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303552 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303555 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.303559 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303562 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303565 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303568 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.303572 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303575 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303578 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303582 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303586 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303589 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303592 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303595 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303598 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.303601 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303604 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.303608 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303611 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303614 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.303617 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.303620 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303623 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.303627 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303630 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303633 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.303636 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303639 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303643 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303646 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.303649 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303652 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303658 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303661 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303664 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303667 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303670 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303674 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303677 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.303680 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303683 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.303686 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303690 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303693 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.303696 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.303699 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303703 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.303706 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303709 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303713 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.303716 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303719 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303723 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303726 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.303731 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303735 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303738 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303741 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303745 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303748 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303751 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303754 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303757 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.303760 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303763 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.303767 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303770 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303773 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.303776 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.303780 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303783 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.303786 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303789 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303793 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.303796 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303799 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303803 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303806 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.303809 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303814 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303817 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303820 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303823 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303827 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303830 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303833 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303836 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.303839 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303843 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.303846 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303849 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303853 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.303857 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.303860 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303863 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.303866 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303869 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303872 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.303876 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303879 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303882 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303885 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.303889 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303892 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303895 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303900 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303903 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303906 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303909 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303912 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303915 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.303919 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303922 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.303925 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303929 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303932 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.303935 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.303938 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303942 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.303945 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303948 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303952 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.303955 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303959 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303962 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303965 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.303969 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.303972 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.303975 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303979 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.303982 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.303985 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303988 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.303992 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.303996 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.304000 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304003 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.304006 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304010 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304013 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.304016 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.304019 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304023 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.304026 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304029 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304032 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.304036 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304039 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304043 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304046 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.304050 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304053 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304056 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304059 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304063 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304067 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304070 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.304073 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304076 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.304079 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304083 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.304086 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304089 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304093 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.304096 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.304099 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304104 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.304107 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304111 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304114 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.304118 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304121 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304125 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304128 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.304131 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304135 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304138 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304141 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304144 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304148 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304151 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.304154 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304157 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.304161 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304164 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.304167 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304171 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304175 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.304178 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.304181 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304185 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.304188 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304193 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304197 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.304200 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304204 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304207 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304210 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.304214 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304217 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304220 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304223 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304226 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304230 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304234 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.304237 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304240 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.304243 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304246 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.304250 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304253 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304256 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.304259 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.304262 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304265 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.304269 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304272 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304275 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.304278 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304282 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304285 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304288 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.304291 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304295 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304298 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304301 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304304 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304307 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304310 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.304313 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304316 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.304320 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304323 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.304326 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304329 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay:0|g 08:56:32.304332 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.304335 511 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:32.304338 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|g 08:56:32.304341 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.304345 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304348 511 StatsD_CodecPort.ttcn:36 match_first data: 0|g 08:56:32.304351 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.304354 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304357 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304361 511 StatsD_CodecPort.ttcn:36 match_begin data: |g 08:56:32.304364 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.304367 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304370 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304373 511 StatsD_CodecPort.ttcn:36 match_first data: g 08:56:32.304376 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304379 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.304384 511 StatsD_CodecPort.ttcn:36 match_list data: g 08:56:32.304387 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.304390 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.304393 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304396 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.304399 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.304402 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.304405 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.304408 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.304412 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.304415 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.304418 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.304421 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.304424 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.gprs.downlink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay", val := 0, mtype := "g", srate := omit } } 08:56:32.304469 511 StatsD_Checker.ttcn:119 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.gprs.downlink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_31_10.23000.alive.delay", val := 0, mtype := "g", srate := omit } } } id 10 08:56:32.304489 511 StatsD_Checker.ttcn:119 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6E732E62696E642E302E74785F6261636B6C6F675F6C656E6774683A307C670A5454434E332E6274732E302E6D732E70726573656E743A387C670A5454434E332E6274732E302E706463682E617661696C61626C653A317C670A5454434E332E6274732E302E706463682E6F636375706965643A317C670A5454434E332E6274732E302E706463682E6F636375706965642E677072733A307C670A5454434E332E6274732E302E706463682E6F636375706965642E65677072733A317C67'O ("TTCN3.ns.bind.0.tx_backlog_length:0|g\nTTCN3.bts.0.ms.present:8|g\nTTCN3.bts.0.pdch.available:1|g\nTTCN3.bts.0.pdch.occupied:1|g\nTTCN3.bts.0.pdch.occupied.gprs:0|g\nTTCN3.bts.0.pdch.occupied.egprs:1|g") } id 11 08:56:32.304495 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.ns.bind.0.tx_backlog_length:0|g\nTTCN3.bts.0.ms.present:8|g\nTTCN3.bts.0.pdch.available:1|g\nTTCN3.bts.0.pdch.occupied:1|g\nTTCN3.bts.0.pdch.occupied.gprs:0|g\nTTCN3.bts.0.pdch.occupied.egprs:1|g" 08:56:32.304502 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304506 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.304509 511 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:32.304512 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304515 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.304519 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304522 511 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304525 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.304529 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304532 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304535 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304540 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.304543 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304546 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304549 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304553 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304556 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304559 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304562 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.304565 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304568 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.304572 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304575 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.304578 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304581 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304584 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.304587 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:32.304590 511 StatsD_CodecPort.ttcn:36 match_begin data: :8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304594 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.304597 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304600 511 StatsD_CodecPort.ttcn:36 match_first data: 8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304603 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.304606 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304610 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304613 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304616 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.304620 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304623 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304626 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304629 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304632 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304635 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304638 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.304642 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304645 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.304648 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304653 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.304656 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304659 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304662 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.304665 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.304669 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304672 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.304675 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304678 511 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304682 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.304685 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304688 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304692 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304695 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.304698 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304701 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304705 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304708 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304711 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304714 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304717 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.304720 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304723 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.304727 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304730 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.304733 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304736 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304740 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.304743 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.304746 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304749 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.304753 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304756 511 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304759 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.304763 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304766 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304769 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304773 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.304776 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304779 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304782 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304786 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304789 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304792 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304796 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.304799 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304803 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.304806 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304809 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.304812 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304816 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304819 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.304822 511 StatsD_CodecPort.ttcn:36 match_first result: 30 08:56:32.304825 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304828 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.304831 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304835 511 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304838 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.304841 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304844 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304848 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304851 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.304855 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304858 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304861 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304864 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304867 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304870 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304873 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.304876 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304880 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.304883 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304886 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.304890 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304893 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.304896 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.304899 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.304902 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|g 08:56:32.304906 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.304909 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304912 511 StatsD_CodecPort.ttcn:36 match_first data: 1|g 08:56:32.304915 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.304919 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304922 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.304926 511 StatsD_CodecPort.ttcn:36 match_begin data: |g 08:56:32.304929 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.304932 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.304936 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.304939 511 StatsD_CodecPort.ttcn:36 match_first data: g 08:56:32.304942 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304945 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.304948 511 StatsD_CodecPort.ttcn:36 match_list data: g 08:56:32.304951 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.304955 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.304958 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.304961 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.304964 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.304968 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.304972 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.304976 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.304980 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.304983 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.304986 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.304990 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.304993 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.ns.bind.0.tx_backlog_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ms.present", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.available", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.gprs", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.egprs", val := 1, mtype := "g", srate := omit } } 08:56:32.305008 511 StatsD_Checker.ttcn:119 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.31.101", remPort := 43160, locName := "172.18.31.10", locPort := 8125, msg := { { name := "TTCN3.ns.bind.0.tx_backlog_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ms.present", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.available", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.gprs", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.egprs", val := 1, mtype := "g", srate := omit } } } id 11 08:56:32.305015 511 StatsD_Checker.ttcn:119 Kill was requested from MC. 08:56:32.305019 511 StatsD_Checker.ttcn:119 Terminating test component execution. 08:56:32.305131 511 - Function main was stopped. PTC terminates. 08:56:32.305143 511 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 08:56:32.305148 511 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 08:56:32.305179 511 - Port STATSVTY was unmapped from system:STATSVTY. 08:56:32.305186 511 - Port STATSVTY was stopped. 08:56:32.305190 511 - Removing unterminated connection between port STATSD_PROC and mtc:STATSD_PROC. 08:56:32.305198 511 - Port STATSD_PROC was stopped. 08:56:32.305201 511 - Removing unterminated mapping between port STATS and system:STATS. 08:56:32.305202 mtc GPRS_Components.ttcn:223 Connection of port STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC was closed unexpectedly by the peer. 08:56:32.305210 mtc GPRS_Components.ttcn:223 Port STATSD_PROC was disconnected from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC. 08:56:32.305297 511 - Port STATS was unmapped from system:STATS. 08:56:32.305305 511 - Message with id 10 was extracted from the queue of STATS. 08:56:32.305309 511 - Message with id 11 was extracted from the queue of STATS. 08:56:32.305312 511 - Port STATS was stopped. 08:56:32.305315 511 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:32.305320 511 - Final verdict of PTC: none 08:56:32.305356 mtc GPRS_Components.ttcn:223 All components were stopped. 08:56:32.305363 mtc GPRS_Components.ttcn:224 Stopping test component execution. 08:56:32.305383 mtc PCU_Tests.ttcn:4756 Test case TC_egprs_pkt_chan_req_reject_exhaustion was stopped. 08:56:32.305387 mtc PCU_Tests.ttcn:4756 Terminating component type PCU_Tests.RAW_PCU_Test_CT. 08:56:32.305392 mtc PCU_Tests.ttcn:4756 Default with id 1 (altstep as_Tguard_RAW) was deactivated. 08:56:32.305397 mtc PCU_Tests.ttcn:4756 Stop timer g_T_guard: 60 s 08:56:32.305402 mtc PCU_Tests.ttcn:4756 Removing unterminated connection between port BTS and BTS(510):TC. 08:56:32.305414 511 - Disconnected from MC. 08:56:32.305416 mtc PCU_Tests.ttcn:4756 Port BTS was stopped. 08:56:32.305420 mtc PCU_Tests.ttcn:4756 Port IPA_CTRL was stopped. 08:56:32.305424 mtc PCU_Tests.ttcn:4756 Port STATSD_PROC was stopped. 08:56:32.305428 mtc PCU_Tests.ttcn:4756 Port PROC was stopped. 08:56:32.305431 mtc PCU_Tests.ttcn:4756 Port RIM was stopped. 08:56:32.305434 mtc PCU_Tests.ttcn:4756 Port BSSGP[0] was stopped. 08:56:32.305437 511 - TTCN-3 Parallel Test Component finished. 08:56:32.305437 mtc PCU_Tests.ttcn:4756 Port BSSGP[1] was stopped. 08:56:32.305441 mtc PCU_Tests.ttcn:4756 Port BSSGP[2] was stopped. 08:56:32.305445 mtc PCU_Tests.ttcn:4756 Port BSSGP_SIG[0] was stopped. 08:56:32.305448 mtc PCU_Tests.ttcn:4756 Port BSSGP_SIG[1] was stopped. 08:56:32.305451 mtc PCU_Tests.ttcn:4756 Port BSSGP_SIG[2] was stopped. 08:56:32.305454 mtc PCU_Tests.ttcn:4756 Port BSSGP_GLOBAL[0] was stopped. 08:56:32.305458 mtc PCU_Tests.ttcn:4756 Port BSSGP_GLOBAL[1] was stopped. 08:56:32.305461 mtc PCU_Tests.ttcn:4756 Port BSSGP_GLOBAL[2] was stopped. 08:56:32.305464 510 - Connection of port TC to mtc:BTS was closed unexpectedly by the peer. 08:56:32.305464 mtc PCU_Tests.ttcn:4756 Port BSSGP_PROC[0] was stopped. 08:56:32.305468 mtc PCU_Tests.ttcn:4756 Port BSSGP_PROC[1] was stopped. 08:56:32.305471 mtc PCU_Tests.ttcn:4756 Port BSSGP_PROC[2] was stopped. 08:56:32.305474 mtc PCU_Tests.ttcn:4756 Removing unterminated mapping between port PCUVTY and system:PCUVTY. 08:56:32.305483 510 - Port TC was disconnected from mtc:BTS. 08:56:32.305493 mtc PCU_Tests.ttcn:4756 Port PCUVTY was unmapped from system:PCUVTY. 08:56:32.305499 mtc PCU_Tests.ttcn:4756 Port PCUVTY was stopped. 08:56:32.305502 mtc PCU_Tests.ttcn:4756 Component type PCU_Tests.RAW_PCU_Test_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:32.305506 mtc PCU_Tests.ttcn:4756 Waiting for PTCs to finish. 08:56:32.305577 512 - Kill was requested from MC. Terminating idle PTC. 08:56:32.305582 512 - Terminating component type PCUIF_Components.RAW_PCU_ClckGen_CT. 08:56:32.305585 512 - Stop timer T_TDMAClock: 0.004615 s 08:56:32.305589 512 - Removing unterminated connection between port CLCK and BTS(510):CLCK. 08:56:32.305597 512 - Port CLCK was stopped. 08:56:32.305601 512 - Component type PCUIF_Components.RAW_PCU_ClckGen_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:32.305606 512 - Final verdict of PTC: none 08:56:32.305617 509 - Kill was requested from MC. Terminating idle PTC. 08:56:32.305625 512 - Disconnected from MC. 08:56:32.305633 512 - TTCN-3 Parallel Test Component finished. 08:56:32.305636 509 - Terminating component type PCUIF_Components.RAW_PCUIF_CT. 08:56:32.305642 509 - Removing unterminated mapping between port PCU and system:PCU. 08:56:32.305644 510 - Connection of port CLCK to ClckGen-0(512):CLCK was closed unexpectedly by the peer. 08:56:32.305665 510 - Port CLCK was disconnected from ClckGen-0(512):CLCK. 08:56:32.305685 510 - Kill was requested from MC. Terminating idle PTC. 08:56:32.305690 510 - Terminating component type PCUIF_Components.RAW_PCU_BTS_CT. 08:56:32.305694 509 - Port PCU was unmapped from system:PCU. 08:56:32.305694 510 - Port CLCK was stopped. 08:56:32.305699 510 - Removing unterminated connection between port PCUIF and PCUIF(509):BTS. 08:56:32.305703 509 - Port PCU was stopped. 08:56:32.305707 509 - Removing unterminated connection between port BTS and BTS(510):PCUIF. 08:56:32.305707 510 - Port PCUIF was stopped. 08:56:32.305711 510 - Port TC was stopped. 08:56:32.305714 510 - Component type PCUIF_Components.RAW_PCU_BTS_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:32.305720 510 - Final verdict of PTC: none 08:56:32.305721 509 - Port BTS was stopped. 08:56:32.305725 509 - Port MTC was stopped. 08:56:32.305728 509 - Component type PCUIF_Components.RAW_PCUIF_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:32.305734 509 - Final verdict of PTC: pass 08:56:32.305738 510 - Disconnected from MC. 08:56:32.305747 510 - TTCN-3 Parallel Test Component finished. 08:56:32.305748 509 - Disconnected from MC. 08:56:32.305757 509 - TTCN-3 Parallel Test Component finished. 08:56:32.305793 mtc PCU_Tests.ttcn:4756 Setting final verdict of the test case. 08:56:32.305825 mtc PCU_Tests.ttcn:4756 Local verdict of MTC: pass 08:56:32.305837 mtc PCU_Tests.ttcn:4756 Local verdict of PTC PCUIF(509): pass (pass -> pass) 08:56:32.305848 mtc PCU_Tests.ttcn:4756 Local verdict of PTC BTS(510): none (pass -> pass) 08:56:32.305858 mtc PCU_Tests.ttcn:4756 Local verdict of PTC TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511): none (pass -> pass) 08:56:32.305868 mtc PCU_Tests.ttcn:4756 Local verdict of PTC ClckGen-0(512): none (pass -> pass) 08:56:32.305879 mtc PCU_Tests.ttcn:4756 Test case TC_egprs_pkt_chan_req_reject_exhaustion finished. Verdict: pass 08:56:32.305898 mtc PCU_Tests.ttcn:4756 Starting external command `../ttcn3-tcpdump-stop.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion pass'. 08:56:33.510241 mtc PCU_Tests.ttcn:4756 External command `../ttcn3-tcpdump-stop.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion pass' was executed successfully (exit status: 0). 08:56:33.510435 mtc PCU_Tests.ttcn:2559 Switching to log file `PCU_Tests-TC_mo_ping_pong_with_ul_racap_egprs_only-218d5543ec3d-mtc.log'