10:45:04.204964 mtc BSC_Tests.ttcn:10947 Starting external command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_no_msc'. 10:45:05.213719 mtc BSC_Tests.ttcn:10947 External command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_no_msc' was executed successfully (exit status: 0). 10:45:05.213907 mtc BSC_Tests.ttcn:10947 Test case TC_no_msc started. 10:45:05.213950 mtc BSC_Tests.ttcn:10947 Initializing variables, timers and ports of component type BSC_Tests.test_CT inside testcase TC_no_msc. 10:45:05.214130 mtc BSC_Tests.ttcn:10947 Port IPA_CTRL was started. 10:45:05.214159 mtc BSC_Tests.ttcn:10947 Port RSL_CCHAN[0] was started. 10:45:05.214179 mtc BSC_Tests.ttcn:10947 Port RSL_CCHAN[1] was started. 10:45:05.214198 mtc BSC_Tests.ttcn:10947 Port RSL_CCHAN[2] was started. 10:45:05.214221 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][0] was started. 10:45:05.214240 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][1] was started. 10:45:05.214259 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][2] was started. 10:45:05.214278 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][3] was started. 10:45:05.214321 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][0] was started. 10:45:05.214341 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][1] was started. 10:45:05.214359 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][2] was started. 10:45:05.214378 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][3] was started. 10:45:05.214397 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][0] was started. 10:45:05.214416 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][1] was started. 10:45:05.214434 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][2] was started. 10:45:05.214452 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][3] was started. 10:45:05.214471 mtc BSC_Tests.ttcn:10947 Port IPA was started. 10:45:05.214490 mtc BSC_Tests.ttcn:10947 Port SCCPLITE_IPA_CTRL was started. 10:45:05.214510 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][0] was started. 10:45:05.214529 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][1] was started. 10:45:05.214547 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][2] was started. 10:45:05.214566 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][3] was started. 10:45:05.214584 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][0] was started. 10:45:05.214602 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][1] was started. 10:45:05.214620 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][2] was started. 10:45:05.214639 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][3] was started. 10:45:05.214657 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][0] was started. 10:45:05.214676 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][1] was started. 10:45:05.214694 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][2] was started. 10:45:05.214712 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][3] was started. 10:45:05.214731 mtc BSC_Tests.ttcn:10947 Port BSCVTY was started. 10:45:05.214749 mtc BSC_Tests.ttcn:10947 Port BSSAP was started. 10:45:05.214767 mtc BSC_Tests.ttcn:10947 Port BSSAP_LE was started. 10:45:05.214786 mtc BSC_Tests.ttcn:10947 Component type BSC_Tests.test_CT was initialized. 10:45:05.214814 mtc BSC_Tests.ttcn:1243 Start timer T_guard: 30 s 10:45:05.214850 mtc BSC_Tests.ttcn:1244 Altstep as_Tguard was activated as default, id 1 10:45:05.214884 mtc BSC_Tests.ttcn:1127 Mapping port mtc:BSCVTY to system:BSCVTY. 10:45:05.215877 mtc BSC_Tests.ttcn:1127 Message enqueued on BSCVTY from system integer : 2 id 1 10:45:05.216006 mtc BSC_Tests.ttcn:1127 Port BSCVTY was mapped to system:BSCVTY. 10:45:05.216324 mtc BSC_Tests.ttcn:1127 Map operation of mtc:BSCVTY to system:BSCVTY finished. 10:45:05.216465 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 10:45:05.216513 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 10:45:05.216541 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 10:45:05.216648 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 10:45:05.216766 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.216811 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.216864 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.216887 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.216909 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.216931 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.216959 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 10:45:05.216989 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 10:45:05.217021 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 10:45:05.217177 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 10:45:05.217288 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.217367 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.217394 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 10:45:05.217422 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 10:45:05.217452 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.217489 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:05.217546 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.218023 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 3 10:45:05.218225 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.218344 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.218447 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.218485 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 3 10:45:05.218521 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of BSCVTY. 10:45:05.218557 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.218599 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "cs7 instance 0" 10:45:05.218700 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.219082 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 4 10:45:05.219288 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.219379 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.219476 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.219514 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 4 10:45:05.219550 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of BSCVTY. 10:45:05.219584 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.219627 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer ias 420" 10:45:05.219727 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.220114 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 5 10:45:05.220318 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.220408 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.220502 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.220539 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 5 10:45:05.220574 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of BSCVTY. 10:45:05.220607 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.220650 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer iar 900" 10:45:05.220749 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.221119 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 6 10:45:05.221321 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.221413 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.221510 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.221545 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 6 10:45:05.221580 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of BSCVTY. 10:45:05.221614 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.221654 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 10:45:05.221754 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.221989 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 7 10:45:05.222059 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.222125 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.222156 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 7 10:45:05.222184 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of BSCVTY. 10:45:05.222212 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.222245 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:05.222294 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.222445 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 8 10:45:05.222511 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.222568 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.222649 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.222680 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 8 10:45:05.222708 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of BSCVTY. 10:45:05.222738 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.222792 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 10:45:05.222842 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.223108 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 9 10:45:05.223169 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.223225 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.223299 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.223330 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 9 10:45:05.223358 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of BSCVTY. 10:45:05.223386 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.223413 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 10:45:05.223454 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.223718 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 10 10:45:05.223776 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.223832 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.223904 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.223932 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 10 10:45:05.223958 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of BSCVTY. 10:45:05.223986 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.224011 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:05.224049 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.224301 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 11 10:45:05.224357 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.224411 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.224478 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.224506 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 11 10:45:05.224531 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 11 was extracted from the queue of BSCVTY. 10:45:05.224558 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.224583 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:05.224620 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.224947 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 12 10:45:05.225153 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.225247 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.225281 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 12 10:45:05.225337 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 12 was extracted from the queue of BSCVTY. 10:45:05.225371 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.225412 mtc StatsD_Checker.ttcn:251 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 10:45:05.232307 1822 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.232363 1822 - TTCN-3 Parallel Test Component started on a8ecc5cf65cb. Component reference: VirtMSC-STATS(1822), component type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. Version: 9.0.0. 10:45:05.232374 1822 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:05.232395 1822 - Connected to MC. 10:45:05.232402 1822 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_no_msc. 10:45:05.232610 mtc StatsD_Checker.ttcn:251 PTC was created. Component reference: 1822, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 10:45:05.232683 mtc StatsD_Checker.ttcn:252 Starting function main("172.18.124.203", 8125) on component VirtMSC-STATS(1822). 10:45:05.233003 mtc StatsD_Checker.ttcn:252 Function was started. 10:45:05.233134 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0 bssmap reset" 10:45:05.233247 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.233719 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 13 10:45:05.233920 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.234012 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.234045 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 13 10:45:05.234079 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 13 was extracted from the queue of BSCVTY. 10:45:05.234111 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.234155 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 1 bssmap reset" 10:45:05.234249 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.234383 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "% No such MSC: nr 1" id 14 10:45:05.234415 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 15 10:45:05.234471 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.234522 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.234580 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:05.234615 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:05.234643 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "% No such MSC: nr 1" with ? matched 10:45:05.234670 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "% No such MSC: nr 1" id 14 10:45:05.234696 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 14 was extracted from the queue of BSCVTY. 10:45:05.234752 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.234812 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.234839 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 15 10:45:05.234866 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 15 was extracted from the queue of BSCVTY. 10:45:05.234892 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.234919 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 2 bssmap reset" 10:45:05.234969 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.235340 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "% No such MSC: nr 2" id 16 10:45:05.235457 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 17 10:45:05.235584 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.235655 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.235714 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:05.235748 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:05.235776 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "% No such MSC: nr 2" with ? matched 10:45:05.235805 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "% No such MSC: nr 2" id 16 10:45:05.235836 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 16 was extracted from the queue of BSCVTY. 10:45:05.235898 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.235963 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.235991 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 17 10:45:05.236019 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 17 was extracted from the queue of BSCVTY. 10:45:05.236048 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.236194 mtc RAN_Adapter.ttcnpp:95 Creating new PTC with component type SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 10:45:05.236955 1822 - Port STATSVTY was started. 10:45:05.237017 1822 - Port STATSD_PROC was started. 10:45:05.237044 1822 - Port STATS was started. 10:45:05.237062 1822 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 10:45:05.237250 1822 - Starting function main("172.18.124.203", 8125). 10:45:05.237392 1822 StatsD_Checker.ttcn:103 Mapping port VirtMSC-STATS(1822):STATS to system:STATS. 10:45:05.237573 1822 StatsD_Checker.ttcn:103 Port STATS was mapped to system:STATS. 10:45:05.237610 1822 StatsD_Checker.ttcn:103 Map operation of VirtMSC-STATS(1822):STATS to system:STATS finished. 10:45:05.237725 1822 StatsD_Checker.ttcn:104 entering f__IPL4__PROVIDER__listen: 172.18.124.203:8125 / UDP 10:45:05.238342 1822 StatsD_Checker.ttcn:111 Mapping port VirtMSC-STATS(1822):STATSVTY to system:STATSVTY. 10:45:05.238857 1822 StatsD_Checker.ttcn:111 Message enqueued on STATSVTY from system integer : 2 id 1 10:45:05.238911 1822 StatsD_Checker.ttcn:111 Port STATSVTY was mapped to system:STATSVTY. 10:45:05.239044 1822 StatsD_Checker.ttcn:111 Map operation of VirtMSC-STATS(1822):STATSVTY to system:STATSVTY finished. 10:45:05.239172 1822 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 10:45:05.239219 1822 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 10:45:05.239231 1822 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 10:45:05.239319 1822 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 10:45:05.239378 1822 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.239410 1822 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.239415 1822 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.239425 1822 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.239438 1822 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.239474 1822 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.239591 1822 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 10:45:05.239638 1822 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 10:45:05.239663 1822 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 10:45:05.239827 1822 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 2 10:45:05.239891 1823 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.239973 1822 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.240026 1822 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.240044 1822 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 10:45:05.240073 1822 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 10:45:05.240095 1823 - Initializing variables, timers and ports of component type SCCP_Emulation.SCCP_CT inside testcase TC_no_msc. 10:45:05.240112 1822 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.240149 1822 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 10:45:05.240201 1822 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.240370 mtc RAN_Adapter.ttcnpp:95 PTC was created. Component reference: 1823, alive: no, type: SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 10:45:05.240408 mtc RAN_Adapter.ttcnpp:97 Creating new PTC with component type RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 10:45:05.240499 1822 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 3 10:45:05.240631 1822 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.240691 1822 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.240711 1822 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 3 10:45:05.240732 1822 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 10:45:05.240751 1822 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.243150 1823 - Component type SCCP_Emulation.SCCP_CT was initialized. 10:45:05.246971 1824 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.247059 1824 - TTCN-3 Parallel Test Component started on a8ecc5cf65cb. Component reference: VirtMSC-RAN(1824), component type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. Version: 9.0.0. 10:45:05.247080 1824 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:05.247121 1824 - Connected to MC. 10:45:05.247138 1824 - Initializing variables, timers and ports of component type RAN_Emulation.RAN_Emulation_CT inside testcase TC_no_msc. 10:45:05.247331 mtc RAN_Adapter.ttcnpp:97 PTC was created. Component reference: 1824, alive: no, type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 10:45:05.247387 mtc RAN_Adapter.ttcnpp:117 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. 10:45:05.249141 1824 - Port BSSAP was started. 10:45:05.249164 1824 - Port CLIENT was started. 10:45:05.249171 1824 - Port MGCP was started. 10:45:05.249178 1824 - Port CTRL was started. 10:45:05.249184 1824 - Port CTRL_CLIENT was started. 10:45:05.249190 1824 - Port PROC was started. 10:45:05.249196 1824 - Component type RAN_Emulation.RAN_Emulation_CT was initialized. 10:45:05.251887 1825 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.251949 1825 - TTCN-3 Parallel Test Component started on a8ecc5cf65cb. Component reference: VirtMSC-IPA(1825), component type: IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. Version: 9.0.0. 10:45:05.251966 1825 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:05.251995 1825 - Connected to MC. 10:45:05.252006 1825 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_no_msc. 10:45:05.252186 mtc RAN_Adapter.ttcnpp:117 PTC was created. Component reference: 1825, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. 10:45:05.252224 mtc RAN_Adapter.ttcnpp:118 Mapping port VirtMSC-IPA(1825):IPA_PORT to system:IPA_CODEC_PT. 10:45:05.253266 1825 - Port IPA_PORT was started. 10:45:05.253284 1825 - Port CFG_PORT was started. 10:45:05.253290 1825 - Port MTP3_SP_PORT was started. 10:45:05.253295 1825 - Port IPA_MGCP_PORT was started. 10:45:05.253300 1825 - Port IPA_RSL_PORT was started. 10:45:05.253305 1825 - Port IPA_OML_PORT was started. 10:45:05.253310 1825 - Port IPA_CTRL_PORT was started. 10:45:05.253316 1825 - Port IPA_SP_PORT was started. 10:45:05.253321 1825 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:45:05.253352 1825 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:45:05.253548 mtc RAN_Adapter.ttcnpp:118 Map operation of VirtMSC-IPA(1825):IPA_PORT to system:IPA_CODEC_PT finished. 10:45:05.253589 mtc RAN_Adapter.ttcnpp:120 Connecting ports VirtMSC-IPA(1825):MTP3_SP_PORT and VirtMSC-SCCP(1823):MTP3_SCCP_PORT. 10:45:05.253885 1825 - Port MTP3_SP_PORT has established the connection with VirtMSC-SCCP(1823):MTP3_SCCP_PORT using transport type UNIX. 10:45:05.253990 mtc RAN_Adapter.ttcnpp:120 Connect operation on VirtMSC-IPA(1825):MTP3_SP_PORT and VirtMSC-SCCP(1823):MTP3_SCCP_PORT finished. 10:45:05.254001 mtc RAN_Adapter.ttcnpp:122 Creating new PTC with component type IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. 10:45:05.257854 1826 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.257908 1826 - TTCN-3 Parallel Test Component started on a8ecc5cf65cb. Component reference: VirtMSC-IPA-WAIT(1826), component type: IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. Version: 9.0.0. 10:45:05.257922 1826 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:05.257947 1826 - Connected to MC. 10:45:05.257957 1826 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_EventWaiter_CT inside testcase TC_no_msc. 10:45:05.258115 mtc RAN_Adapter.ttcnpp:122 PTC was created. Component reference: 1826, alive: no, type: IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. 10:45:05.258161 mtc RAN_Adapter.ttcnpp:123 Connecting ports VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:05.258323 1825 - Port IPA_SP_PORT is waiting for connection from VirtMSC-IPA-WAIT(1826):IPA_SP_PORT on UNIX pathname /tmp/ttcn3-portconn-256cb52e. 10:45:05.258958 1826 - Port IPA_SP_PORT was started. 10:45:05.258973 1826 - Component type IPA_Emulation.IPA_EventWaiter_CT was initialized. 10:45:05.258997 1826 - Port IPA_SP_PORT has established the connection with VirtMSC-IPA(1825):IPA_SP_PORT using transport type UNIX. 10:45:05.259083 1825 - Port IPA_SP_PORT has accepted the connection from VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:05.259142 mtc RAN_Adapter.ttcnpp:123 Connect operation on VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT finished. 10:45:05.259183 mtc RAN_Adapter.ttcnpp:124 Starting function waiter_main({ ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := ?, id_resp := * }) on component VirtMSC-IPA-WAIT(1826). 10:45:05.259247 mtc RAN_Adapter.ttcnpp:124 Function was started. 10:45:05.259278 mtc RAN_Adapter.ttcnpp:125 Starting function main_server("172.18.124.203", 5000, true, IPA_INIT_SEND_IPA_ID_ACK (1), true) on component VirtMSC-IPA(1825). 10:45:05.259341 mtc RAN_Adapter.ttcnpp:125 Function was started. 10:45:05.259436 1826 - Starting function waiter_main({ ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := ?, id_resp := * }). 10:45:05.259485 1825 - Starting function main_server("172.18.124.203", 5000, true, IPA_INIT_SEND_IPA_ID_ACK (1), true). 10:45:05.259735 1825 IPA_Emulation.ttcnpp:325 entering f__IPL4__PROVIDER__listen: 172.18.124.203:5000 / TCP 10:45:09.070945 1825 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @Socket_API_Definitions.PortEvent : { connOpened := { connId := 2, remName := "172.18.124.20", remPort := 41339, locName := "172.18.124.203", locPort := 5000, proto := { tcp := { } }, userData := 0 } } id 1 10:45:09.071854 1825 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT failed: Type of the first message in the queue is not @IPA_CodecPort.IPA_RecvFrom. 10:45:09.071920 1825 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT failed: Type of the first message in the queue is not @IPA_CodecPort.IPA_RecvFrom. 10:45:09.072077 1825 IPA_Emulation.ttcnpp:822 Matching on port IPA_PORT succeeded: matched 10:45:09.072173 1825 IPA_Emulation.ttcnpp:822 Receive operation on port IPA_PORT succeeded, message from system(): @Socket_API_Definitions.PortEvent : { connOpened := { connId := 2, remName := "172.18.124.20", remPort := 41339, locName := "172.18.124.203", locPort := 5000, proto := { tcp := { } }, userData := 0 } } id 1 10:45:09.072228 1825 IPA_Emulation.ttcnpp:822 Message with id 1 was extracted from the queue of IPA_PORT. 10:45:09.072261 1825 IPA_Emulation.ttcnpp:824 Established a new IPA connection (conn_id=2) 10:45:09.073287 1825 IPA_Emulation.ttcnpp:374 Sent on IPA_SP_PORT to VirtMSC-IPA-WAIT(1826) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } 10:45:09.073919 1825 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:09.074376 1826 IPA_Emulation.ttcnpp:952 Message enqueued on IPA_SP_PORT from VirtMSC-IPA(1825) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } id 1 10:45:09.074380 1825 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 10:45:09.074835 1825 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:09.075035 1826 IPA_Emulation.ttcnpp:953 Matching on port IPA_SP_PORT ASP_IPA_EVENT_UP (1) with ASP_IPA_EVENT_ID_ACK (3) unmatched: First message in the queue does not match the template: 10:45:09.075039 1825 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 10:45:09.075052 1826 IPA_Emulation.ttcnpp:956 Matching on port IPA_SP_PORT succeeded. 10:45:09.075062 1826 IPA_Emulation.ttcnpp:956 Receive operation on port IPA_SP_PORT succeeded, message from VirtMSC-IPA(1825): @IPA_Emulation.ASP_IPA_Event: { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } id 1 10:45:09.075074 1826 IPA_Emulation.ttcnpp:956 Message with id 1 was extracted from the queue of IPA_SP_PORT. 10:45:09.075088 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:09.075118 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 10:45:09.075157 1825 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { tcp := { } }, msg := '0001FE06'O } 10:45:09.075573 1825 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "172.18.124.20", remPort := 41339, locName := "172.18.124.203", locPort := 5000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 10:45:09.075609 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 10:45:09.075691 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:09.075705 1825 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:09.075739 1825 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 10:45:09.075748 1825 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:09.075754 1825 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 10:45:09.075759 1825 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 10:45:09.075766 1825 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:09.075770 1825 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:09.075786 1825 IPA_Emulation.ttcnpp:374 Sent on IPA_SP_PORT to VirtMSC-IPA-WAIT(1826) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } 10:45:09.075865 1826 IPA_Emulation.ttcnpp:952 Message enqueued on IPA_SP_PORT from VirtMSC-IPA(1825) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } id 2 10:45:09.075901 1826 IPA_Emulation.ttcnpp:953 Matching on port IPA_SP_PORT succeeded: matched 10:45:09.075909 1826 IPA_Emulation.ttcnpp:953 Receive operation on port IPA_SP_PORT succeeded, message from VirtMSC-IPA(1825): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } id 2 10:45:09.075914 1826 IPA_Emulation.ttcnpp:953 Message with id 2 was extracted from the queue of IPA_SP_PORT. 10:45:09.075924 1826 IPA_Emulation.ttcnpp:954 setverdict(pass): none -> pass 10:45:09.075946 1826 - Function waiter_main finished. PTC terminates. 10:45:09.075952 1826 - Terminating component type IPA_Emulation.IPA_EventWaiter_CT. 10:45:09.075956 1826 - Removing unterminated connection between port IPA_SP_PORT and VirtMSC-IPA(1825):IPA_SP_PORT. 10:45:09.075971 1826 - Port IPA_SP_PORT was stopped. 10:45:09.075975 1826 - Component type IPA_Emulation.IPA_EventWaiter_CT was shut down inside testcase TC_no_msc. 10:45:09.075981 1826 - Final verdict of PTC: pass 10:45:09.076013 mtc RAN_Adapter.ttcnpp:129 PTC with component reference 1826 is done. 10:45:09.076027 1825 IPA_Emulation.ttcnpp:735 Connection of port IPA_SP_PORT to VirtMSC-IPA-WAIT(1826):IPA_SP_PORT was closed unexpectedly by the peer. 10:45:09.076027 mtc RAN_Adapter.ttcnpp:130 Disconnecting ports VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:09.076048 1825 IPA_Emulation.ttcnpp:735 Port IPA_SP_PORT was disconnected from VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:09.076067 1826 - Disconnected from MC. 10:45:09.076100 1826 - TTCN-3 Parallel Test Component finished. 10:45:09.076178 mtc RAN_Adapter.ttcnpp:130 Disconnect operation on VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT finished. 10:45:09.076205 mtc RAN_Adapter.ttcnpp:158 Start timer T: 5 s 10:45:09.076215 mtc RAN_Adapter.ttcnpp:170 Connecting BSSAP RAN_Emulation to SCCP_SP_PORT 10:45:09.076223 mtc RAN_Adapter.ttcnpp:171 Connecting ports VirtMSC-RAN(1824):BSSAP and VirtMSC-SCCP(1823):SCCP_SP_PORT. 10:45:09.076747 1824 - Port BSSAP has established the connection with VirtMSC-SCCP(1823):SCCP_SP_PORT using transport type UNIX. 10:45:09.077199 mtc RAN_Adapter.ttcnpp:171 Connect operation on VirtMSC-RAN(1824):BSSAP and VirtMSC-SCCP(1823):SCCP_SP_PORT finished. 10:45:09.077242 mtc RAN_Adapter.ttcnpp:178 Connecting MGCP RAN Emulation to IPA MGCP PORT 10:45:09.077296 mtc RAN_Adapter.ttcnpp:179 Connecting ports VirtMSC-IPA(1825):IPA_MGCP_PORT and VirtMSC-RAN(1824):MGCP. 10:45:09.077561 1824 - Port MGCP is waiting for connection from VirtMSC-IPA(1825):IPA_MGCP_PORT on UNIX pathname /tmp/ttcn3-portconn-2270a765. 10:45:09.077785 1825 IPA_Emulation.ttcnpp:735 Port IPA_MGCP_PORT has established the connection with VirtMSC-RAN(1824):MGCP using transport type UNIX. 10:45:09.077850 1824 - Port MGCP has accepted the connection from VirtMSC-IPA(1825):IPA_MGCP_PORT. 10:45:09.077983 mtc RAN_Adapter.ttcnpp:179 Connect operation on VirtMSC-IPA(1825):IPA_MGCP_PORT and VirtMSC-RAN(1824):MGCP finished. 10:45:09.078104 mtc RAN_Adapter.ttcnpp:184 Connecting CTRL RAN Emulation to IPA CTRL PORT 10:45:09.078157 mtc RAN_Adapter.ttcnpp:185 Connecting ports VirtMSC-IPA(1825):IPA_CTRL_PORT and VirtMSC-RAN(1824):CTRL. 10:45:09.078361 1824 - Port CTRL is waiting for connection from VirtMSC-IPA(1825):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-3072a577. 10:45:09.078442 1825 IPA_Emulation.ttcnpp:735 Port IPA_CTRL_PORT has established the connection with VirtMSC-RAN(1824):CTRL using transport type UNIX. 10:45:09.078619 1824 - Port CTRL has accepted the connection from VirtMSC-IPA(1825):IPA_CTRL_PORT. 10:45:09.078754 mtc RAN_Adapter.ttcnpp:185 Connect operation on VirtMSC-IPA(1825):IPA_CTRL_PORT and VirtMSC-RAN(1824):CTRL finished. 10:45:09.078877 mtc RAN_Adapter.ttcnpp:189 Starting RAN_Emulation 10:45:09.078978 mtc RAN_Adapter.ttcnpp:190 Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_SCCPlite_SERVER (1), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, "") on component VirtMSC-RAN(1824). 10:45:09.079249 mtc RAN_Adapter.ttcnpp:190 Function was started. 10:45:09.079317 mtc BSC_Tests.ttcn:1215 Connecting ports mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(1824):CTRL_CLIENT. 10:45:09.079617 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL is waiting for connection from VirtMSC-RAN(1824):CTRL_CLIENT on UNIX pathname /tmp/ttcn3-portconn-c018e002. 10:45:09.080513 1824 - Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_SCCPlite_SERVER (1), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, ""). 10:45:09.082821 1824 Osmocom_Types.ttcn:118 Start timer T: 1 s 10:45:09.083044 1824 Osmocom_Types.ttcn:119 Port CTRL_CLIENT has established the connection with mtc:SCCPLITE_IPA_CTRL using transport type UNIX. 10:45:09.083265 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL has accepted the connection from VirtMSC-RAN(1824):CTRL_CLIENT. 10:45:09.083384 mtc BSC_Tests.ttcn:1215 Connect operation on mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(1824):CTRL_CLIENT finished. 10:45:09.083424 mtc RAN_Adapter.ttcnpp:197 Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }) on component VirtMSC-SCCP(1823). 10:45:09.083571 mtc RAN_Adapter.ttcnpp:197 Function was started. 10:45:09.083633 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show running-config" 10:45:09.083738 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.084027 1823 - Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }). 10:45:09.087150 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 18 10:45:09.087603 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 19 10:45:09.089250 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.090704 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.091900 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:09.093104 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:09.093959 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with ? matched 10:45:09.094726 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 18 10:45:09.094798 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 18 was extracted from the queue of BSCVTY. 10:45:09.094819 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.094842 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:09.094851 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 19 10:45:09.094860 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 19 was extracted from the queue of BSCVTY. 10:45:09.094868 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.094886 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:09.094914 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.095109 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 20 10:45:09.095159 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.095180 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.095203 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:09.095211 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 20 10:45:09.095224 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 20 was extracted from the queue of BSCVTY. 10:45:09.095232 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.095242 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 10:45:09.095265 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.095418 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 21 10:45:09.095465 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.095484 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.095504 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:09.095512 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 21 10:45:09.095520 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 21 was extracted from the queue of BSCVTY. 10:45:09.095527 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.095536 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "allow-attach" 10:45:09.095558 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.095701 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 22 10:45:09.095746 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.095765 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.095786 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:09.095793 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 22 10:45:09.095800 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 22 was extracted from the queue of BSCVTY. 10:45:09.095808 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.095816 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:09.095838 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.095973 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 23 10:45:09.096020 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.096037 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.096056 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:09.096063 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 23 10:45:09.096069 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 23 was extracted from the queue of BSCVTY. 10:45:09.096076 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.096083 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:09.096103 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.096229 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 24 10:45:09.096270 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.096288 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:09.096299 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 24 10:45:09.096307 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 24 was extracted from the queue of BSCVTY. 10:45:09.096314 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.096324 mtc BSC_Tests.ttcn:1193 msc 1 is not configured, skipping 10:45:09.096335 mtc BSC_Tests.ttcn:1193 msc 2 is not configured, skipping 10:45:09.096342 mtc Osmocom_CTRL_Adapter.ttcn:69 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 10:45:09.114422 1827 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:09.114502 1827 - TTCN-3 Parallel Test Component started on a8ecc5cf65cb. Component reference: IPA-CTRL-CLI-IPA(1827), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. Version: 9.0.0. 10:45:09.114516 1827 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:09.114549 1827 - Connected to MC. 10:45:09.114559 1827 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_no_msc. 10:45:09.114695 mtc Osmocom_CTRL_Adapter.ttcn:69 PTC was created. Component reference: 1827, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 10:45:09.114728 mtc Osmocom_CTRL_Adapter.ttcn:71 Mapping port IPA-CTRL-CLI-IPA(1827):IPA_PORT to system:IPA_CODEC_PT. 10:45:09.115787 1827 - Port IPA_PORT was started. 10:45:09.115803 1827 - Port CFG_PORT was started. 10:45:09.115808 1827 - Port MTP3_SP_PORT was started. 10:45:09.115813 1827 - Port IPA_MGCP_PORT was started. 10:45:09.115817 1827 - Port IPA_RSL_PORT was started. 10:45:09.115822 1827 - Port IPA_OML_PORT was started. 10:45:09.115826 1827 - Port IPA_CTRL_PORT was started. 10:45:09.115830 1827 - Port IPA_SP_PORT was started. 10:45:09.115835 1827 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:45:09.115865 1827 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:45:09.115981 mtc Osmocom_CTRL_Adapter.ttcn:71 Map operation of IPA-CTRL-CLI-IPA(1827):IPA_PORT to system:IPA_CODEC_PT finished. 10:45:09.116014 mtc Osmocom_CTRL_Adapter.ttcn:72 Connecting ports IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT and mtc:IPA_CTRL. 10:45:09.116106 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL is waiting for connection from IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-8e15f21f. 10:45:09.116201 1827 - Port IPA_CTRL_PORT has established the connection with mtc:IPA_CTRL using transport type UNIX. 10:45:09.116222 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL has accepted the connection from IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT. 10:45:09.116233 mtc Osmocom_CTRL_Adapter.ttcn:72 Connect operation on IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT and mtc:IPA_CTRL finished. 10:45:09.116241 mtc Osmocom_CTRL_Adapter.ttcn:74 Starting function main_client("172.18.124.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false) on component IPA-CTRL-CLI-IPA(1827). 10:45:09.116288 mtc Osmocom_CTRL_Adapter.ttcn:74 Function was started. 10:45:09.116296 mtc Osmocom_CTRL_Adapter.ttcn:37 Start timer T: 10 s 10:45:09.116483 1827 - Starting function main_client("172.18.124.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false). 10:45:09.116713 1827 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :0 -> 172.18.124.20:4249 / TCP 10:45:09.118154 1827 IPA_Emulation.ttcnpp:388 Sent on IPA_CTRL_PORT to mtc @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 10:45:09.118264 mtc Osmocom_CTRL_Adapter.ttcn:38 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:09.118281 mtc Osmocom_CTRL_Adapter.ttcn:39 Matching on port IPA_CTRL succeeded: matched 10:45:09.118291 mtc Osmocom_CTRL_Adapter.ttcn:39 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:09.118309 mtc Osmocom_CTRL_Adapter.ttcn:39 Message with id 1 was extracted from the queue of IPA_CTRL. 10:45:09.118325 mtc BSC_Tests.ttcn:1103 Creating new alive PTC with component type MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 10:45:09.124185 1828 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:09.124300 1828 - TTCN-3 Parallel Test Component started on a8ecc5cf65cb. Component reference: VirtMGW-MGCP-0(1828), component type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. Version: 9.0.0. 10:45:09.124327 1828 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:09.124380 1828 - Connected to MC. 10:45:09.124400 1828 - Initializing variables, timers and ports of component type MGCP_Emulation.MGCP_Emulation_CT inside testcase TC_no_msc. 10:45:09.124519 mtc BSC_Tests.ttcn:1103 PTC was created. Component reference: 1828, alive: yes, type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 10:45:09.124584 mtc BSC_Tests.ttcn:1104 Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.124.20", callagent_udp_port := -1, mgw_ip := "172.18.124.203", mgw_udp_port := 2427, multi_conn_mode := true }, "VirtMGW-MGCP-0") on component VirtMGW-MGCP-0(1828). 10:45:09.124733 mtc BSC_Tests.ttcn:1104 Function was started. 10:45:09.124750 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 10:45:09.124769 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.519470. 10:45:09.124806 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "519470307", variable := "bts.0.oml-connection-state", val := omit } } 10:45:09.124829 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:09.125116 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "519470307", variable := "bts.0.oml-connection-state", val := omit } } id 1 10:45:09.125338 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:09.125386 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "519470307", variable := "bts.0.oml-connection-state", val := omit } } id 1 10:45:09.125416 1827 IPA_Emulation.ttcnpp:879 Message with id 1 was extracted from the queue of IPA_CTRL_PORT. 10:45:09.125456 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "519470307", variable := "bts.0.oml-connection-state", val := omit } } 10:45:09.125624 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 519470307 bts.0.oml-connection-state" 10:45:09.126524 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420353139343730333037206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 519470307 bts.0.oml-connection-state") } 10:45:09.126724 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420353139343730333037206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 519470307 bts.0.oml-connection-state") } 10:45:09.126741 1828 - Port MGCP was started. 10:45:09.126775 1828 - Port MGCP_CLIENT was started. 10:45:09.126785 1828 - Port MGCP_CLIENT_MULTI was started. 10:45:09.126795 1828 - Port MGCP_PROC was started. 10:45:09.126804 1828 - Component type MGCP_Emulation.MGCP_Emulation_CT was initialized. 10:45:09.126957 1828 - Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.124.20", callagent_udp_port := -1, mgw_ip := "172.18.124.203", mgw_udp_port := 2427, multi_conn_mode := true }, "VirtMGW-MGCP-0"). 10:45:09.127042 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420353139343730333037206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 10:45:09.127177 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420353139343730333037206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 10:45:09.127523 1828 MGCP_Emulation.ttcn:268 Mapping port VirtMGW-MGCP-0(1828):MGCP to system:MGCP_CODEC_PT. 10:45:09.127804 1828 MGCP_Emulation.ttcn:268 Port MGCP was mapped to system:MGCP_CODEC_PT. 10:45:09.128064 1828 MGCP_Emulation.ttcn:268 Map operation of VirtMGW-MGCP-0(1828):MGCP to system:MGCP_CODEC_PT finished. 10:45:09.128119 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 4249, locName := "172.18.124.203", locPort := 43899, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920353139343730333037206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 1 10:45:09.128261 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920353139343730333037206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 10:45:09.128414 1828 MGCP_Emulation.ttcn:270 entering f__IPL4__PROVIDER__listen: 172.18.124.203:2427 / UDP 10:45:09.128545 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920353139343730333037206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 519470307 bts.0.oml-connection-state degraded") } 10:45:09.128626 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920353139343730333037206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 519470307 bts.0.oml-connection-state degraded") } id 1 10:45:09.128774 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:09.128823 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920353139343730333037206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 519470307 bts.0.oml-connection-state degraded") } id 1 10:45:09.128849 1827 IPA_Emulation.ttcnpp:753 Message with id 1 was extracted from the queue of IPA_PORT. 10:45:09.128901 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 519470307 bts.0.oml-connection-state degraded" 10:45:09.129023 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 519470307 bts.0.oml-connection-state degraded 10:45:09.129028 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:09.129032 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:09.129074 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 519470307 bts.0.oml-connection-state degraded 10:45:09.129079 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:09.129086 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:09.129089 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:09.129093 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 519470307 bts.0.oml-connection-state degraded 10:45:09.129096 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:09.129100 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:09.129128 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 519470307 bts.0.oml-connection-state degraded 10:45:09.129132 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:09.129137 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:09.129141 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:09.129144 1827 IPA_Emulation.ttcnpp:627 match_begin data: 519470307 bts.0.oml-connection-state degraded 10:45:09.129148 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:09.129151 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:09.129157 1827 IPA_Emulation.ttcnpp:627 match_first data: 519470307 bts.0.oml-connection-state degraded 10:45:09.129160 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:09.129163 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:09.129167 1827 IPA_Emulation.ttcnpp:627 match_list data: 519470307 bts.0.oml-connection-state degraded 10:45:09.129170 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:09.129174 1827 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 10:45:09.129177 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:09.129181 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:09.129184 1827 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 10:45:09.129189 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:09.129193 1827 IPA_Emulation.ttcnpp:627 match_first result: 26 10:45:09.129196 1827 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 10:45:09.129199 1827 IPA_Emulation.ttcnpp:627 match_list result: 26 10:45:09.129202 1827 IPA_Emulation.ttcnpp:627 match_begin data: degraded 10:45:09.129206 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:09.129209 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:09.129212 1827 IPA_Emulation.ttcnpp:627 match_first data: degraded 10:45:09.129215 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:09.129219 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:09.129222 1827 IPA_Emulation.ttcnpp:627 match_list data: degraded 10:45:09.129225 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:09.129240 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "519470307", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:09.129262 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "519470307", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:09.129361 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "519470307", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 10:45:09.129391 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:09.129400 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "519470307", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 10:45:09.129406 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 2 was extracted from the queue of IPA_CTRL. 10:45:09.129412 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 10:45:09.129418 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 10:45:10.083246 1824 Osmocom_Types.ttcn:119 Timeout T: 1 s 10:45:10.087463 1824 RAN_Emulation.ttcnpp:537 Sent on BSSAP to VirtMSC-SCCP(1823) @BSSAP_CodecPort.BSSAP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } 10:45:10.087770 1824 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 10:45:10.088502 1824 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Stream after encoding: '000430040100'O 10:45:10.088551 1824 RAN_Emulation.ttcnpp:537 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } 10:45:10.088705 1824 RAN_Emulation.ttcnpp:538 Start timer T: 5 s 10:45:10.091983 1825 IPA_Emulation.ttcnpp:735 Message enqueued on MTP3_SP_PORT from VirtMSC-SCCP(1823) @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, data := '090003070B0443BB00FE0443B900FE06000430040100'O } id 1 10:45:10.092477 1825 IPA_Emulation.ttcnpp:857 Matching on port MTP3_SP_PORT succeeded: matched 10:45:10.092561 1825 IPA_Emulation.ttcnpp:857 Receive operation on port MTP3_SP_PORT succeeded, message from VirtMSC-SCCP(1823): @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, data := '090003070B0443BB00FE0443B900FE06000430040100'O } id 1 10:45:10.092629 1825 IPA_Emulation.ttcnpp:857 Message with id 1 was extracted from the queue of MTP3_SP_PORT. 10:45:10.092711 1825 IPA_Emulation.ttcnpp:860 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443BB00FE0443B900FE06000430040100'O } 10:45:10.092752 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, payloadData := '090003070B0443BB00FE0443B900FE06000430040100'O } 10:45:10.092811 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0016FD090003070B0443BB00FE0443B900FE06000430040100'O 10:45:10.092856 1825 IPA_Emulation.ttcnpp:860 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { tcp := { } }, msg := '0016FD090003070B0443BB00FE0443B900FE06000430040100'O } 10:45:10.094232 1825 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "172.18.124.20", remPort := 41339, locName := "172.18.124.203", locPort := 5000, proto := { tcp := { } }, userData := 0, msg := '0013FD090003070B0443B900FE0443BB00FE03000131'O } id 3 10:45:10.094254 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 4249, locName := "172.18.124.203", locPort := 43899, proto := { tcp := { } }, userData := 0, msg := '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 2 10:45:10.094411 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0013FD090003070B0443B900FE0443BB00FE03000131'O 10:45:10.094425 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 10:45:10.094472 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 19, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, payloadData := '090003070B0443B900FE0443BB00FE03000131'O } 10:45:10.094497 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 41, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } 10:45:10.094523 1825 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443B900FE0443BB00FE03000131'O } id 3 10:45:10.094582 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 10:45:10.094628 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 4249, locName := "172.18.124.203", locPort := 43899, proto := { tcp := { } }, userData := 0, msg := '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 3 10:45:10.094654 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 10:45:10.094693 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 39, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } 10:45:10.094752 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 10:45:10.094767 1825 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_SCCP (253) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.094796 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.094815 1825 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.094839 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 10:45:10.094848 1825 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443B900FE0443BB00FE03000131'O } id 3 10:45:10.094879 1825 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 10:45:10.094894 1827 IPA_Emulation.ttcnpp:753 Message with id 2 was extracted from the queue of IPA_PORT. 10:45:10.094927 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc.0.connection_status connected" 10:45:10.094963 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.094985 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.095006 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.095033 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.095053 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.095081 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.095101 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.095124 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.095144 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.095170 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.095190 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.095243 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.095263 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 10:45:10.095286 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.095305 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.095396 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.095419 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 10:45:10.095444 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.095465 1827 IPA_Emulation.ttcnpp:627 match_begin result: 7 10:45:10.095485 1825 IPA_Emulation.ttcnpp:759 Sent on MTP3_SP_PORT to VirtMSC-SCCP(1823) @MTP3asp_Types.ASP_MTP3_TRANSFERind : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 0, dpc := 0, sls := 0, data := '090003070B0443B900FE0443BB00FE03000131'O } 10:45:10.095487 1827 IPA_Emulation.ttcnpp:627 match_first data: msc.0.connection_status connected 10:45:10.095507 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.095529 1827 IPA_Emulation.ttcnpp:627 match_first result: 23 10:45:10.095548 1827 IPA_Emulation.ttcnpp:627 match_list data: msc.0.connection_status connected 10:45:10.095567 1827 IPA_Emulation.ttcnpp:627 match_list result: 23 10:45:10.095587 1827 IPA_Emulation.ttcnpp:627 match_begin data: connected 10:45:10.095607 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.095627 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.095647 1827 IPA_Emulation.ttcnpp:627 match_first data: connected 10:45:10.095666 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.095685 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.095704 1827 IPA_Emulation.ttcnpp:627 match_list data: connected 10:45:10.095723 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.095744 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc.0.connection_status", val := "connected" } } 10:45:10.095792 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } 10:45:10.095855 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.095889 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 10:45:10.095915 1827 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 10:45:10.095937 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc_connection_status connected" 10:45:10.095964 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.095983 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.095999 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 10:45:10.096004 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.096024 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.096044 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.096367 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.096391 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.096412 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.096431 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.096457 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.096476 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.096497 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.096516 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 10:45:10.096539 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.096558 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.096582 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.096601 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 10:45:10.096623 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.096642 1827 IPA_Emulation.ttcnpp:627 match_begin result: 7 10:45:10.096661 1827 IPA_Emulation.ttcnpp:627 match_first data: msc_connection_status connected 10:45:10.096680 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.096699 1827 IPA_Emulation.ttcnpp:627 match_first result: 21 10:45:10.096718 1827 IPA_Emulation.ttcnpp:627 match_list data: msc_connection_status connected 10:45:10.096737 1827 IPA_Emulation.ttcnpp:627 match_list result: 21 10:45:10.096756 1827 IPA_Emulation.ttcnpp:627 match_begin data: connected 10:45:10.096775 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.096795 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.096814 1827 IPA_Emulation.ttcnpp:627 match_first data: connected 10:45:10.096833 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.096853 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.096872 1827 IPA_Emulation.ttcnpp:627 match_list data: connected 10:45:10.096891 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.096910 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc_connection_status", val := "connected" } } 10:45:10.096941 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } 10:45:10.097100 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 10:45:10.098104 1824 RAN_Emulation.ttcnpp:539 Message enqueued on BSSAP from VirtMSC-SCCP(1823) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000131'O, importance := omit } id 1 10:45:10.098363 1824 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Stream before decoding: '000131'O 10:45:10.104210 1824 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 10:45:10.104379 1824 RAN_Emulation.ttcnpp:539 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 10:45:10.104925 1824 RAN_Emulation.ttcnpp:540 Matching on port BSSAP succeeded: matched 10:45:10.105026 1824 RAN_Emulation.ttcnpp:540 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(1823): @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 10:45:10.105076 1824 RAN_Emulation.ttcnpp:540 Message with id 1 was extracted from the queue of BSSAP. 10:45:10.105144 1824 RAN_Emulation.ttcnpp:541 BSSMAP: Received RESET-ACK in response to RESET, we're ready to go! 10:45:10.129446 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 10:45:10.129585 mtc BSC_Tests.ttcn:981 Creating new alive PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 10:45:10.137110 1829 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:10.137162 1829 - TTCN-3 Parallel Test Component started on a8ecc5cf65cb. Component reference: IPA-BTS0-TRX0-RSL-IPA(1829), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. Version: 9.0.0. 10:45:10.137174 1829 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:10.137198 1829 - Connected to MC. 10:45:10.137231 1829 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_no_msc. 10:45:10.137392 mtc BSC_Tests.ttcn:981 PTC was created. Component reference: 1829, alive: yes, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 10:45:10.137422 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Encoding @IPA_Types.IpaUnitId: { site_id := 1234, bts_id := 0, trx_id := 0 } 10:45:10.137439 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Stream after encoding: "1234/0/0" 10:45:10.137446 mtc BSC_Tests.ttcn:986 Creating new alive PTC with component type RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 10:45:10.138252 1829 - Port IPA_PORT was started. 10:45:10.138265 1829 - Port CFG_PORT was started. 10:45:10.138268 1829 - Port MTP3_SP_PORT was started. 10:45:10.138271 1829 - Port IPA_MGCP_PORT was started. 10:45:10.138274 1829 - Port IPA_RSL_PORT was started. 10:45:10.138277 1829 - Port IPA_OML_PORT was started. 10:45:10.138279 1829 - Port IPA_CTRL_PORT was started. 10:45:10.138282 1829 - Port IPA_SP_PORT was started. 10:45:10.138285 1829 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:45:10.140394 1830 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:10.140438 1830 - TTCN-3 Parallel Test Component started on a8ecc5cf65cb. Component reference: IPA-BTS0-TRX0-RSL-RSL(1830), component type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. Version: 9.0.0. 10:45:10.140448 1830 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:10.140469 1830 - Connected to MC. 10:45:10.140476 1830 - Initializing variables, timers and ports of component type RSL_Emulation.RSL_Emulation_CT inside testcase TC_no_msc. 10:45:10.140616 mtc BSC_Tests.ttcn:986 PTC was created. Component reference: 1830, alive: yes, type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 10:45:10.140641 mtc BSC_Tests.ttcn:987 Connecting ports IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT and mtc:RSL_CCHAN[0]. 10:45:10.140831 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT on UNIX pathname /tmp/ttcn3-portconn-d77af91e. 10:45:10.141716 1830 - Port IPA_PT was started. 10:45:10.141741 1830 - Port CLIENT_PT was started. 10:45:10.141749 1830 - Port RSL_PROC was started. 10:45:10.141756 1830 - Port CCHAN_PT was started. 10:45:10.141763 1830 - Component type RSL_Emulation.RSL_Emulation_CT was initialized. 10:45:10.141804 1830 - Port CCHAN_PT has established the connection with mtc:RSL_CCHAN[0] using transport type UNIX. 10:45:10.141961 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT. 10:45:10.142055 mtc BSC_Tests.ttcn:987 Connect operation on IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT and mtc:RSL_CCHAN[0] finished. 10:45:10.142075 mtc BSC_Tests.ttcn:990 Mapping port IPA-BTS0-TRX0-RSL-IPA(1829):IPA_PORT to system:IPA_CODEC_PT. 10:45:10.142258 1829 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:45:10.142586 mtc BSC_Tests.ttcn:990 Map operation of IPA-BTS0-TRX0-RSL-IPA(1829):IPA_PORT to system:IPA_CODEC_PT finished. 10:45:10.142644 mtc BSC_Tests.ttcn:991 Connecting ports IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT and mtc:IPA_CFG_PORT[0][0]. 10:45:10.142965 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] is waiting for connection from IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT on UNIX pathname /tmp/ttcn3-portconn-86528b6e. 10:45:10.143128 1829 - Port CFG_PORT has established the connection with mtc:IPA_CFG_PORT[0][0] using transport type UNIX. 10:45:10.143243 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] has accepted the connection from IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT. 10:45:10.143328 mtc BSC_Tests.ttcn:991 Connect operation on IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT and mtc:IPA_CFG_PORT[0][0] finished. 10:45:10.143355 mtc BSC_Tests.ttcn:993 Connecting ports IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT. 10:45:10.143608 1829 - Port IPA_RSL_PORT is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT on UNIX pathname /tmp/ttcn3-portconn-3a2fa064. 10:45:10.143719 1830 - Port IPA_PT has established the connection with IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT using transport type UNIX. 10:45:10.143835 1829 - Port IPA_RSL_PORT has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT. 10:45:10.143911 mtc BSC_Tests.ttcn:993 Connect operation on IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT finished. 10:45:10.143969 mtc BSC_Tests.ttcn:999 Starting function main_client("172.18.124.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true) on component IPA-BTS0-TRX0-RSL-IPA(1829). 10:45:10.144047 mtc BSC_Tests.ttcn:999 Function was started. 10:45:10.144069 mtc BSC_Tests.ttcn:1001 Starting function main(true) on component IPA-BTS0-TRX0-RSL-RSL(1830). 10:45:10.144109 mtc BSC_Tests.ttcn:1001 Function was started. 10:45:10.144132 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 10:45:10.144151 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.994946. 10:45:10.144184 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "994946408", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.144208 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:10.144235 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc.0.connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "994946408", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 10:45:10.144248 1830 - Starting function main(true). 10:45:10.144256 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 10:45:10.144271 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 10:45:10.144287 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 3 was extracted from the queue of IPA_CTRL. 10:45:10.144304 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "994946408", variable := "bts.0.oml-connection-state", val := omit } } id 2 10:45:10.144305 1829 - Starting function main_client("172.18.124.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true). 10:45:10.144306 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc_connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "994946408", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 10:45:10.144321 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 10:45:10.144334 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 10:45:10.144348 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 4 was extracted from the queue of IPA_CTRL. 10:45:10.144429 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:10.144477 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "994946408", variable := "bts.0.oml-connection-state", val := omit } } id 2 10:45:10.144514 1827 IPA_Emulation.ttcnpp:879 Message with id 2 was extracted from the queue of IPA_CTRL_PORT. 10:45:10.144544 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "994946408", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.144585 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 994946408 bts.0.oml-connection-state" 10:45:10.144653 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420393934393436343038206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 994946408 bts.0.oml-connection-state") } 10:45:10.144677 1829 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :10000 -> 172.18.124.20:3003 / TCP 10:45:10.144693 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420393934393436343038206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 994946408 bts.0.oml-connection-state") } 10:45:10.144771 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420393934393436343038206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 10:45:10.144835 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420393934393436343038206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 10:45:10.145141 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 4249, locName := "172.18.124.203", locPort := 43899, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920393934393436343038206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 4 10:45:10.145212 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920393934393436343038206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 10:45:10.145272 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920393934393436343038206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 994946408 bts.0.oml-connection-state degraded") } 10:45:10.145342 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920393934393436343038206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 994946408 bts.0.oml-connection-state degraded") } id 4 10:45:10.145383 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.145425 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920393934393436343038206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 994946408 bts.0.oml-connection-state degraded") } id 4 10:45:10.145459 1827 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 10:45:10.145490 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 994946408 bts.0.oml-connection-state degraded" 10:45:10.145540 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 994946408 bts.0.oml-connection-state degraded 10:45:10.145567 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.145593 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.145633 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 994946408 bts.0.oml-connection-state degraded 10:45:10.145659 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.145690 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.145715 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:10.145739 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 994946408 bts.0.oml-connection-state degraded 10:45:10.145763 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.145788 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.145824 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 994946408 bts.0.oml-connection-state degraded 10:45:10.145849 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.145878 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.145902 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:10.145926 1827 IPA_Emulation.ttcnpp:627 match_begin data: 994946408 bts.0.oml-connection-state degraded 10:45:10.145949 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.145974 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.145998 1827 IPA_Emulation.ttcnpp:627 match_first data: 994946408 bts.0.oml-connection-state degraded 10:45:10.146021 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.146046 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:10.146070 1827 IPA_Emulation.ttcnpp:627 match_list data: 994946408 bts.0.oml-connection-state degraded 10:45:10.146108 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:10.146134 1827 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 10:45:10.146158 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.146184 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.146208 1827 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 10:45:10.146232 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.146256 1827 IPA_Emulation.ttcnpp:627 match_first result: 26 10:45:10.146278 1827 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 10:45:10.146370 1827 IPA_Emulation.ttcnpp:627 match_list result: 26 10:45:10.146393 1827 IPA_Emulation.ttcnpp:627 match_begin data: degraded 10:45:10.146404 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.146417 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.146428 1827 IPA_Emulation.ttcnpp:627 match_first data: degraded 10:45:10.146437 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.146447 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.146457 1827 IPA_Emulation.ttcnpp:627 match_list data: degraded 10:45:10.146466 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.146469 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.146478 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "994946408", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:10.146502 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "994946408", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:10.146618 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "994946408", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 10:45:10.146693 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 10:45:10.146715 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:10.146763 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "994946408", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 10:45:10.146801 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 5 was extracted from the queue of IPA_CTRL. 10:45:10.146840 mtc Osmocom_Types.ttcn:118 Start timer T: 0.1 s 10:45:10.147038 1829 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.147229 1829 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 10:45:10.147392 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:10.147469 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 10:45:10.147590 1829 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0001FE06'O } 10:45:10.148332 1829 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 10:45:10.148921 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:10.149267 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT succeeded: matched 10:45:10.149320 1830 RSL_Emulation.ttcn:497 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:10.149360 1830 RSL_Emulation.ttcn:497 Message with id 1 was extracted from the queue of IPA_PT. 10:45:10.149913 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0011FE0401080107010201030104010501010100'O } id 1 10:45:10.149985 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0011FE0401080107010201030104010501010100'O 10:45:10.150231 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 17, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '0401080107010201030104010501010100'O } 10:45:10.150279 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 10:45:10.150350 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 10:45:10.150373 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 10:45:10.150392 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:10.150414 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:10.150581 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 10:45:10.150641 1829 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 10:45:10.150668 1829 IPA_Emulation.ttcnpp:737 Message with id 1 was extracted from the queue of IPA_PORT. 10:45:10.150687 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '0401080107010201030104010501010100'O 10:45:10.150758 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 10:45:10.150804 1829 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 10:45:10.151282 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 10:45:10.151418 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 10:45:10.151467 1829 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 10:45:10.151642 1829 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 10:45:10.151677 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 10:45:10.151720 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 10:45:10.151764 1829 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 10:45:10.151867 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 10:45:10.151891 1829 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:10.151911 1829 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 10:45:10.151928 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 10:45:10.151946 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.151962 1829 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.152000 1829 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } 10:45:10.152099 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 10:45:10.152388 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_UP (1) unmatched: First message in the queue does not match the template: 10:45:10.152430 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_DOWN (0) unmatched: First message in the queue does not match the template: 10:45:10.152449 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT succeeded: matched 10:45:10.152468 1830 RSL_Emulation.ttcn:522 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 10:45:10.152488 1830 RSL_Emulation.ttcn:522 Message with id 2 was extracted from the queue of IPA_PT. 10:45:10.153404 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 10:45:10.153483 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0127175506198FB38000000000000000000000000000E500002B'O 10:45:10.153524 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } 10:45:10.153559 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 10:45:10.153588 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 10:45:10.153605 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E02271759061A00000000000000000000000000000000FFE50000'O 10:45:10.153628 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } 10:45:10.153655 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 10:45:10.153675 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0006000C1101801E0A'O } id 5 10:45:10.153709 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0006000C1101801E0A'O 10:45:10.153726 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 6, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0A'O } 10:45:10.153745 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 10:45:10.153767 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 10:45:10.153782 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 10:45:10.153804 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } 10:45:10.153829 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 10:45:10.153981 1830 RSL_Emulation.ttcn:523 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } 10:45:10.153994 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.154025 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.154046 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 10:45:10.154066 1829 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 10:45:10.154207 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O 10:45:10.155277 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } 10:45:10.157070 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } 10:45:10.157327 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.157346 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.157361 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 10:45:10.157381 1829 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 10:45:10.157393 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O 10:45:10.157422 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } 10:45:10.157506 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } 10:45:10.157533 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.157545 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.157557 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 10:45:10.157568 1829 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 10:45:10.157578 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0A'O 10:45:10.157594 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } 10:45:10.157625 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 10:45:10.157643 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.157654 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.157666 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 10:45:10.157677 1829 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 10:45:10.157687 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 10:45:10.157706 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } 10:45:10.157749 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 10:45:10.157823 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 10:45:10.157837 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 10:45:10.157856 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } 10:45:10.157876 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 10:45:10.157887 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 3 10:45:10.157893 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 10:45:10.157904 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O 10:45:10.157920 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } 10:45:10.157938 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 10:45:10.157955 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 10:45:10.157967 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O 10:45:10.157981 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } 10:45:10.157998 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 10:45:10.158012 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 10:45:10.158028 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 10:45:10.158042 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } 10:45:10.158043 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.158060 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 10:45:10.158065 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.158074 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E050B001349061D10000000000000000000000000000000'O } id 11 10:45:10.158075 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.158086 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E050B001349061D10000000000000000000000000000000'O 10:45:10.158100 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E050B001349061D10000000000000000000000000000000'O } 10:45:10.158116 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 10:45:10.158128 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400101A1E0D'O } id 12 10:45:10.158139 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400101A1E0D'O 10:45:10.158150 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0D'O } 10:45:10.158162 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 10:45:10.158176 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 10:45:10.158187 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E0E0B00134906069E050020000000000000000000000000'O 10:45:10.158200 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0E0B00134906069E050020000000000000000000000000'O } 10:45:10.158217 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 10:45:10.158231 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001400101A1E060B000D2D061E000000F110000197FF2B'O } id 14 10:45:10.158241 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001400101A1E060B000D2D061E000000F110000197FF2B'O 10:45:10.158255 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 20, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E060B000D2D061E000000F110000197FF2B'O } 10:45:10.158273 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 10:45:10.158289 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 10:45:10.158309 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 10:45:10.158328 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } 10:45:10.158352 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 10:45:10.158529 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.158668 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.158682 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 10:45:10.158729 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.158743 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.158750 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.158756 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 10:45:10.158768 1829 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 10:45:10.158778 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 10:45:10.158782 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.158801 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } 10:45:10.158803 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 3 10:45:10.158819 1830 RSL_Emulation.ttcn:589 Message with id 3 was extracted from the queue of IPA_PT. 10:45:10.158846 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 10:45:10.158871 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.158882 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.158893 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 10:45:10.158903 1829 IPA_Emulation.ttcnpp:753 Message with id 8 was extracted from the queue of IPA_PORT. 10:45:10.158911 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O 10:45:10.158929 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } 10:45:10.158929 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } 10:45:10.158964 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } 10:45:10.158982 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.158992 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.159002 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 10:45:10.159012 1829 IPA_Emulation.ttcnpp:753 Message with id 9 was extracted from the queue of IPA_PORT. 10:45:10.159021 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O 10:45:10.159037 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } 10:45:10.159069 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } 10:45:10.159087 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.159100 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.159110 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 10:45:10.159116 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 4 10:45:10.159120 1829 IPA_Emulation.ttcnpp:753 Message with id 10 was extracted from the queue of IPA_PORT. 10:45:10.159128 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 10:45:10.159144 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } 10:45:10.159144 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 10:45:10.159162 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 10:45:10.159172 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 1 10:45:10.159174 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 10:45:10.159185 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 10:45:10.159191 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.159201 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.159204 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 8 10:45:10.159212 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 10:45:10.159222 1829 IPA_Emulation.ttcnpp:753 Message with id 11 was extracted from the queue of IPA_PORT. 10:45:10.159223 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 9 10:45:10.159230 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E050B001349061D10000000000000000000000000000000'O 10:45:10.159236 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159246 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159254 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159272 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } 10:45:10.159277 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.159309 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.159328 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.159356 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 10:45:10.159370 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.159375 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.159385 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.159387 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 4 10:45:10.159394 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 10:45:10.159400 1830 RSL_Emulation.ttcn:589 Message with id 4 was extracted from the queue of IPA_PT. 10:45:10.159406 1829 IPA_Emulation.ttcnpp:753 Message with id 12 was extracted from the queue of IPA_PORT. 10:45:10.159414 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } 10:45:10.159415 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0D'O 10:45:10.159426 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } 10:45:10.159432 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159440 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159445 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 10:45:10.159447 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159459 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.159468 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.159477 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 10:45:10.159486 1829 IPA_Emulation.ttcnpp:753 Message with id 13 was extracted from the queue of IPA_PORT. 10:45:10.159494 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0E0B00134906069E050020000000000000000000000000'O 10:45:10.159507 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } 10:45:10.159528 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 2 10:45:10.159532 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 10:45:10.159546 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.159546 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatchedRSL_CHAN_NR_BCCH (16) with RSL_CHAN_NR_PCH_AGCH (18) unmatched.rsl.ies[1].body{ sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:10.159558 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.159568 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 10:45:10.159576 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.159577 1829 IPA_Emulation.ttcnpp:753 Message with id 14 was extracted from the queue of IPA_PORT. 10:45:10.159587 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E060B000D2D061E000000F110000197FF2B'O 10:45:10.159599 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.159600 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } 10:45:10.159611 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.159623 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 10:45:10.159623 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 10:45:10.159637 1830 RSL_Emulation.ttcn:589 Message with id 5 was extracted from the queue of IPA_PT. 10:45:10.159642 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.159648 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 10:45:10.159652 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.159663 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159664 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 10:45:10.159672 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159675 1829 IPA_Emulation.ttcnpp:753 Message with id 15 was extracted from the queue of IPA_PORT. 10:45:10.159679 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159683 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 10:45:10.159699 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.159724 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.159739 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.159750 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.159755 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } 10:45:10.159762 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 10:45:10.159767 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 3 10:45:10.159776 1830 RSL_Emulation.ttcn:589 Message with id 6 was extracted from the queue of IPA_PT. 10:45:10.159788 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 10:45:10.159802 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159810 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159817 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159835 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.159859 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.159874 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.159885 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.159897 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 10:45:10.159898 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 4 10:45:10.159909 1830 RSL_Emulation.ttcn:589 Message with id 7 was extracted from the queue of IPA_PT. 10:45:10.159922 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 10:45:10.159938 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159944 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 10:45:10.159945 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159954 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159971 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.159994 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.159995 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 5 10:45:10.160007 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.160018 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.160030 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:10.160030 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 8 10:45:10.160041 1830 RSL_Emulation.ttcn:589 Message with id 8 was extracted from the queue of IPA_PT. 10:45:10.160053 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } 10:45:10.160055 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 10:45:10.160066 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160074 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160076 1829 IPA_Emulation.ttcnpp:913 Message with id 1 was extracted from the queue of IPA_RSL_PORT. 10:45:10.160083 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160101 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.160103 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } 10:45:10.160103 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 6 10:45:10.160123 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160138 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.160148 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.160159 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 9 10:45:10.160170 1830 RSL_Emulation.ttcn:589 Message with id 9 was extracted from the queue of IPA_PT. 10:45:10.160181 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } 10:45:10.160228 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 10:45:10.160269 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 10:45:10.160282 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 7 10:45:10.160286 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 10:45:10.160301 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 10:45:10.160316 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 10:45:10.160329 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C1201900F0017'O 10:45:10.160367 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1201900F0017'O } 10:45:10.160383 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1201900F0017'O } 10:45:10.160385 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 10:45:10.160396 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0007000C1201900F0017'O 10:45:10.160399 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160407 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0007000C1201900F0017'O } 10:45:10.160407 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160414 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160435 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.160459 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160476 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.160486 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.160498 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 10:45:10.160509 1830 RSL_Emulation.ttcn:589 Message with id 10 was extracted from the queue of IPA_PT. 10:45:10.160520 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 10:45:10.160534 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160541 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160548 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160565 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5 (5) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:10.160569 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 8 10:45:10.160587 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160601 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.160612 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 10:45:10.160624 1830 RSL_Emulation.ttcn:584 Message with id 11 was extracted from the queue of IPA_PT. 10:45:10.160635 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 10:45:10.160649 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160656 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160662 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160677 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.160697 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160712 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.160721 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 10:45:10.160730 1830 RSL_Emulation.ttcn:584 Message with id 12 was extracted from the queue of IPA_PT. 10:45:10.160739 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 10:45:10.160746 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 9 10:45:10.160750 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160756 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160763 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160782 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:10.160808 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160820 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.160830 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 10:45:10.160839 1830 RSL_Emulation.ttcn:584 Message with id 13 was extracted from the queue of IPA_PT. 10:45:10.160849 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 10:45:10.160860 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160860 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 10 10:45:10.160866 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160873 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160888 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_6 (6) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:10.160908 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160920 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.160933 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 10:45:10.160939 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 11 10:45:10.160941 1830 RSL_Emulation.ttcn:584 Message with id 14 was extracted from the queue of IPA_PT. 10:45:10.160951 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 10:45:10.160962 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160968 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160975 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160993 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.161012 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 12 10:45:10.161016 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.161030 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.161043 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 10:45:10.161055 1830 RSL_Emulation.ttcn:584 Message with id 15 was extracted from the queue of IPA_PT. 10:45:10.161068 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 10:45:10.161182 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 13 10:45:10.246887 mtc Osmocom_Types.ttcn:119 Timeout T: 0.1 s 10:45:10.247113 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.372489. 10:45:10.247231 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "372489424", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.247335 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:10.247514 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "372489424", variable := "bts.0.oml-connection-state", val := omit } } id 3 10:45:10.247753 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:10.247796 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "372489424", variable := "bts.0.oml-connection-state", val := omit } } id 3 10:45:10.247829 1827 IPA_Emulation.ttcnpp:879 Message with id 3 was extracted from the queue of IPA_CTRL_PORT. 10:45:10.247853 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "372489424", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.247894 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 372489424 bts.0.oml-connection-state" 10:45:10.247979 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420333732343839343234206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 372489424 bts.0.oml-connection-state") } 10:45:10.248019 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420333732343839343234206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 372489424 bts.0.oml-connection-state") } 10:45:10.248111 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420333732343839343234206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 10:45:10.248161 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420333732343839343234206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 10:45:10.248781 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 4249, locName := "172.18.124.203", locPort := 43899, proto := { tcp := { } }, userData := 0, msg := '0039EE004745545F5245504C5920333732343839343234206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O } id 5 10:45:10.248916 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0039EE004745545F5245504C5920333732343839343234206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O 10:45:10.248984 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 57, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920333732343839343234206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 372489424 bts.0.oml-connection-state connected") } 10:45:10.249060 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920333732343839343234206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 372489424 bts.0.oml-connection-state connected") } id 5 10:45:10.249099 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.249141 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920333732343839343234206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 372489424 bts.0.oml-connection-state connected") } id 5 10:45:10.249172 1827 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 10:45:10.249200 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 372489424 bts.0.oml-connection-state connected" 10:45:10.249248 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 372489424 bts.0.oml-connection-state connected 10:45:10.249270 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.249292 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.249335 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 372489424 bts.0.oml-connection-state connected 10:45:10.249356 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.249385 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.249406 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:10.249426 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 372489424 bts.0.oml-connection-state connected 10:45:10.249446 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.249467 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.249501 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 372489424 bts.0.oml-connection-state connected 10:45:10.249521 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.249548 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.249568 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:10.249588 1827 IPA_Emulation.ttcnpp:627 match_begin data: 372489424 bts.0.oml-connection-state connected 10:45:10.249607 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.249627 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.249648 1827 IPA_Emulation.ttcnpp:627 match_first data: 372489424 bts.0.oml-connection-state connected 10:45:10.249666 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.249686 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:10.249705 1827 IPA_Emulation.ttcnpp:627 match_list data: 372489424 bts.0.oml-connection-state connected 10:45:10.249725 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:10.249744 1827 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state connected 10:45:10.249763 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.249782 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.249802 1827 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state connected 10:45:10.249820 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.249840 1827 IPA_Emulation.ttcnpp:627 match_first result: 26 10:45:10.249858 1827 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state connected 10:45:10.249885 1827 IPA_Emulation.ttcnpp:627 match_list result: 26 10:45:10.249905 1827 IPA_Emulation.ttcnpp:627 match_begin data: connected 10:45:10.249924 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.249944 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.249964 1827 IPA_Emulation.ttcnpp:627 match_first data: connected 10:45:10.249982 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.250002 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.250022 1827 IPA_Emulation.ttcnpp:627 match_list data: connected 10:45:10.250040 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.250061 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "372489424", variable := "bts.0.oml-connection-state", val := "connected" } } 10:45:10.250104 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "372489424", variable := "bts.0.oml-connection-state", val := "connected" } } 10:45:10.250363 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "372489424", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 10:45:10.250545 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:10.250619 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "372489424", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 10:45:10.250679 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 6 was extracted from the queue of IPA_CTRL. 10:45:10.250736 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 10:45:10.250789 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 10:45:11.251118 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 10:45:11.251352 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:11.251554 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.252173 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 25 10:45:11.252525 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.252671 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:11.252825 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:11.252890 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 25 10:45:11.252947 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 25 was extracted from the queue of BSCVTY. 10:45:11.253005 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.253070 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "network" 10:45:11.253211 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.253554 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net)# " id 26 10:45:11.253692 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.253801 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:11.253934 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net)# " with pattern "[\w-]+\(*\)\# " matched 10:45:11.253993 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net)# " id 26 10:45:11.254045 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 26 was extracted from the queue of BSCVTY. 10:45:11.254096 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.254151 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "bts 0" 10:45:11.254257 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.254725 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 27 10:45:11.255049 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.255191 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:11.255345 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 10:45:11.255407 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 27 10:45:11.255463 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 27 was extracted from the queue of BSCVTY. 10:45:11.255519 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.255587 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 10:45:11.255729 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.256149 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 28 10:45:11.256372 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.256505 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:11.256653 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 10:45:11.256717 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 28 10:45:11.256805 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 28 was extracted from the queue of BSCVTY. 10:45:11.256863 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.256923 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 10:45:11.257056 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.257412 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 29 10:45:11.257643 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.257787 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:11.257850 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 29 10:45:11.257905 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 29 was extracted from the queue of BSCVTY. 10:45:11.257958 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.258019 mtc Osmocom_VTY_Functions.ttcn:333 "TC_no_msc() start" 10:45:11.258115 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): TC_no_msc() start" 10:45:11.258253 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.258776 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 30 10:45:11.259064 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.259207 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:11.259272 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 30 10:45:11.259329 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 30 was extracted from the queue of BSCVTY. 10:45:11.259383 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.259449 mtc Osmocom_Types.ttcn:118 Start timer T: 1 s 10:45:12.259789 mtc Osmocom_Types.ttcn:119 Timeout T: 1 s 10:45:12.260134 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.020450. 10:45:12.260218 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.425219. 10:45:12.260271 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.533309. 10:45:12.260317 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.559235. 10:45:12.260360 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.223892. 10:45:12.260402 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.374547. 10:45:12.260445 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.908772. 10:45:12.260488 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.307890. 10:45:12.260530 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.881873. 10:45:12.260573 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.516342. 10:45:12.260619 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.338732. 10:45:12.260663 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.478379. 10:45:12.260706 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.215699. 10:45:12.260748 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.150600. 10:45:12.260791 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.739512. 10:45:12.260834 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.026548. 10:45:12.260876 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.030246. 10:45:12.260919 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.494531. 10:45:12.260961 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.096720. 10:45:12.261008 mtc BSC_Tests.ttcn:768 "TC_no_msc": using IMSI '001010455239385'H 10:45:12.261150 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.881580. 10:45:12.261245 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "881580122", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:12.261336 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:12.261539 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "881580122", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 4 10:45:12.261778 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:12.261824 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "881580122", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 4 10:45:12.261857 1827 IPA_Emulation.ttcnpp:879 Message with id 4 was extracted from the queue of IPA_CTRL_PORT. 10:45:12.261883 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "881580122", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:12.261927 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc" 10:45:12.262016 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542038383135383031323220726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:12.262057 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542038383135383031323220726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:12.262138 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0037EE004745542038383135383031323220726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O 10:45:12.262195 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0037EE004745542038383135383031323220726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O } 10:45:12.262842 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 4249, locName := "172.18.124.203", locPort := 43899, proto := { tcp := { } }, userData := 0, msg := '003FEE004745545F5245504C592038383135383031323220726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O } id 6 10:45:12.262956 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003FEE004745545F5245504C592038383135383031323220726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O 10:45:12.263026 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592038383135383031323220726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O ("GET_REPLY 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0") } 10:45:12.263134 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592038383135383031323220726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O ("GET_REPLY 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0") } id 6 10:45:12.263175 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.263219 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592038383135383031323220726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O ("GET_REPLY 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0") } id 6 10:45:12.263250 1827 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 10:45:12.263279 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0" 10:45:12.263321 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.263343 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:12.263365 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:12.263408 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.263428 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:12.263457 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:12.263477 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:12.263498 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.263517 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.263538 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:12.263573 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.263593 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:12.263620 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:12.263640 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:12.263660 1827 IPA_Emulation.ttcnpp:627 match_begin data: 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.263679 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.263699 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.263720 1827 IPA_Emulation.ttcnpp:627 match_first data: 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.263739 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.263759 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:12.263777 1827 IPA_Emulation.ttcnpp:627 match_list data: 881580122 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.263797 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:12.263816 1827 IPA_Emulation.ttcnpp:627 match_begin data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.263835 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.263854 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.263874 1827 IPA_Emulation.ttcnpp:627 match_first data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.263892 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.263911 1827 IPA_Emulation.ttcnpp:627 match_first result: 40 10:45:12.263930 1827 IPA_Emulation.ttcnpp:627 match_list data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.263949 1827 IPA_Emulation.ttcnpp:627 match_list result: 40 10:45:12.263968 1827 IPA_Emulation.ttcnpp:627 match_begin data: 0 10:45:12.263986 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.264005 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.264024 1827 IPA_Emulation.ttcnpp:627 match_first data: 0 10:45:12.264052 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.264071 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:12.264090 1827 IPA_Emulation.ttcnpp:627 match_list data: 0 10:45:12.264108 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:12.264128 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "881580122", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } 10:45:12.264174 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "881580122", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } 10:45:12.264409 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "881580122", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } id 7 10:45:12.264590 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:12.264664 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "881580122", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } id 7 10:45:12.264721 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 7 was extracted from the queue of IPA_CTRL. 10:45:12.264792 mtc Osmocom_CTRL_Functions.ttcn:247 retrieved rate counters: "bsc": { { { name := "mscpool:subscr:no_msc", val := 0 } } } 10:45:12.264898 mtc BSC_Tests.ttcn:870 initial bsc rate counters: { { { name := "mscpool:subscr:no_msc", val := 0 } } } 10:45:12.265184 mtc BSC_Tests.ttcn:4044 Creating new PTC with component type MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_no_msc. 10:45:12.278936 1831 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:12.279224 1831 - TTCN-3 Parallel Test Component started on a8ecc5cf65cb. Component reference: TC_no_msc(1831), component type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_no_msc. Version: 9.0.0. 10:45:12.279277 1831 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:12.279380 1831 - Connected to MC. 10:45:12.279419 1831 - Initializing variables, timers and ports of component type MSC_ConnectionHandler.MSC_ConnHdlr inside testcase TC_no_msc. 10:45:12.279723 mtc BSC_Tests.ttcn:4044 PTC was created. Component reference: 1831, alive: no, type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_no_msc. 10:45:12.279879 mtc BSC_Tests.ttcn:4011 Connecting ports TC_no_msc(1831):RAN and VirtMSC-RAN(1824):PROC. 10:45:12.280387 1824 RAN_Emulation.ttcnpp:1249 Port PROC is waiting for connection from TC_no_msc(1831):RAN on UNIX pathname /tmp/ttcn3-portconn-6a7ab125. 10:45:12.284124 1831 - Port BSSAP_LE was started. 10:45:12.284140 1831 - Port BSSAP_LE_PROC was started. 10:45:12.284143 1831 - Port STATSD_PROC was started. 10:45:12.284146 1831 - Port MGCP was started. 10:45:12.284149 1831 - Port MGCP_MULTI was started. 10:45:12.284152 1831 - Port MGCP_PROC was started. 10:45:12.284155 1831 - Port BSSAP was started. 10:45:12.284158 1831 - Port BSSAP_PROC was started. 10:45:12.284161 1831 - Port RSL was started. 10:45:12.284164 1831 - Port RSL_PROC was started. 10:45:12.284166 1831 - Port RSL1 was started. 10:45:12.284169 1831 - Port RSL1_PROC was started. 10:45:12.284172 1831 - Port RSL2 was started. 10:45:12.284175 1831 - Port RSL2_PROC was started. 10:45:12.284177 1831 - Port RAN was started. 10:45:12.284181 1831 - Port BSCVTY was started. 10:45:12.284183 1831 - Port COORD was started. 10:45:12.284186 1831 - Port COORD2 was started. 10:45:12.284189 1831 - Port MGCP_MSC_CLIENT was started. 10:45:12.284192 1831 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was initialized. 10:45:12.284210 1831 - Port RAN has established the connection with VirtMSC-RAN(1824):PROC using transport type UNIX. 10:45:12.284327 1824 RAN_Emulation.ttcnpp:1249 Port PROC has accepted the connection from TC_no_msc(1831):RAN. 10:45:12.284503 mtc BSC_Tests.ttcn:4011 Connect operation on TC_no_msc(1831):RAN and VirtMSC-RAN(1824):PROC finished. 10:45:12.284544 mtc BSC_Tests.ttcn:4012 Connecting ports TC_no_msc(1831):RSL and IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT. 10:45:12.284706 1830 RSL_Emulation.ttcn:496 Port CLIENT_PT is waiting for connection from TC_no_msc(1831):RSL on UNIX pathname /tmp/ttcn3-portconn-3631f92a. 10:45:12.284841 1831 - Port RSL has established the connection with IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT using transport type UNIX. 10:45:12.284937 1830 RSL_Emulation.ttcn:496 Port CLIENT_PT has accepted the connection from TC_no_msc(1831):RSL. 10:45:12.285058 mtc BSC_Tests.ttcn:4012 Connect operation on TC_no_msc(1831):RSL and IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT finished. 10:45:12.285100 mtc BSC_Tests.ttcn:4013 Connecting ports TC_no_msc(1831):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC. 10:45:12.285245 1830 RSL_Emulation.ttcn:496 Port RSL_PROC is waiting for connection from TC_no_msc(1831):RSL_PROC on UNIX pathname /tmp/ttcn3-portconn-6f76af6d. 10:45:12.285397 1831 - Port RSL_PROC has established the connection with IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC using transport type UNIX. 10:45:12.285411 1830 RSL_Emulation.ttcn:496 Port RSL_PROC has accepted the connection from TC_no_msc(1831):RSL_PROC. 10:45:12.285542 mtc BSC_Tests.ttcn:4013 Connect operation on TC_no_msc(1831):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC finished. 10:45:12.285587 mtc BSC_Tests.ttcn:4022 Connecting ports TC_no_msc(1831):BSSAP and VirtMSC-RAN(1824):CLIENT. 10:45:12.285640 1824 RAN_Emulation.ttcnpp:1249 Port CLIENT is waiting for connection from TC_no_msc(1831):BSSAP on UNIX pathname /tmp/ttcn3-portconn-2c6ee639. 10:45:12.285709 1831 - Port BSSAP has established the connection with VirtMSC-RAN(1824):CLIENT using transport type UNIX. 10:45:12.285716 1824 RAN_Emulation.ttcnpp:1249 Port CLIENT has accepted the connection from TC_no_msc(1831):BSSAP. 10:45:12.285760 mtc BSC_Tests.ttcn:4022 Connect operation on TC_no_msc(1831):BSSAP and VirtMSC-RAN(1824):CLIENT finished. 10:45:12.285785 mtc BSC_Tests.ttcn:4027 Connecting ports TC_no_msc(1831):STATSD_PROC and VirtMSC-STATS(1822):STATSD_PROC. 10:45:12.285837 1822 StatsD_Checker.ttcn:119 Port STATSD_PROC is waiting for connection from TC_no_msc(1831):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-2f76af1d. 10:45:12.285852 1831 - Port STATSD_PROC has established the connection with VirtMSC-STATS(1822):STATSD_PROC using transport type UNIX. 10:45:12.285862 1822 StatsD_Checker.ttcn:119 Port STATSD_PROC has accepted the connection from TC_no_msc(1831):STATSD_PROC. 10:45:12.285905 mtc BSC_Tests.ttcn:4027 Connect operation on TC_no_msc(1831):STATSD_PROC and VirtMSC-STATS(1822):STATSD_PROC finished. 10:45:12.285931 mtc BSC_Tests.ttcn:4028 Connecting ports TC_no_msc(1831):MGCP_PROC and VirtMGW-MGCP-0(1828):MGCP_PROC. 10:45:12.285996 1828 MGCP_Emulation.ttcn:290 Port MGCP_PROC is waiting for connection from TC_no_msc(1831):MGCP_PROC on UNIX pathname /tmp/ttcn3-portconn-3972ab3f. 10:45:12.286101 1831 - Port MGCP_PROC has established the connection with VirtMGW-MGCP-0(1828):MGCP_PROC using transport type UNIX. 10:45:12.286112 1828 MGCP_Emulation.ttcn:290 Port MGCP_PROC has accepted the connection from TC_no_msc(1831):MGCP_PROC. 10:45:12.286157 mtc BSC_Tests.ttcn:4028 Connect operation on TC_no_msc(1831):MGCP_PROC and VirtMGW-MGCP-0(1828):MGCP_PROC finished. 10:45:12.286183 mtc BSC_Tests.ttcn:4029 Connecting ports TC_no_msc(1831):MGCP and VirtMGW-MGCP-0(1828):MGCP_CLIENT. 10:45:12.286226 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT is waiting for connection from TC_no_msc(1831):MGCP on UNIX pathname /tmp/ttcn3-portconn-2368a476. 10:45:12.286303 1831 - Port MGCP has established the connection with VirtMGW-MGCP-0(1828):MGCP_CLIENT using transport type UNIX. 10:45:12.286308 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT has accepted the connection from TC_no_msc(1831):MGCP. 10:45:12.286375 mtc BSC_Tests.ttcn:4029 Connect operation on TC_no_msc(1831):MGCP and VirtMGW-MGCP-0(1828):MGCP_CLIENT finished. 10:45:12.286400 mtc BSC_Tests.ttcn:4030 Connecting ports TC_no_msc(1831):MGCP_MULTI and VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI. 10:45:12.286508 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI is waiting for connection from TC_no_msc(1831):MGCP_MULTI on UNIX pathname /tmp/ttcn3-portconn-2320a43e. 10:45:12.286574 1831 - Port MGCP_MULTI has established the connection with VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI using transport type UNIX. 10:45:12.286587 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI has accepted the connection from TC_no_msc(1831):MGCP_MULTI. 10:45:12.286632 mtc BSC_Tests.ttcn:4030 Connect operation on TC_no_msc(1831):MGCP_MULTI and VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI finished. 10:45:12.286657 mtc BSC_Tests.ttcn:4052 Starting function f_handler_init(refers(BSC_Tests.f_tc_no_msc), "TC_no_msc", { ra := '23'O ("#"), fn := 23, imsi := '001010455239385'H, imei := '00101342170040'H, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, media_nr := 1, ass_codec_list := omit, expect_mr_conf_ie := omit, expect_mr_s0_s7 := omit, encr := omit, lcls := { gcr := omit, cfg := omit, csc := omit, exp_sts := omit, adjust_cx_exp := true }, sccp_addr_msc := omit, sccp_addr_bsc := omit, exp_ms_power_level := 7, exp_ms_power_params := false, aoip := false, use_osmux_cn := false, use_osmux_bts := false, host_aoip_tla := "1.2.3.4", mscpool := { bssap_idx := 0, rsl_idx := 0, l3_info := omit }, mgwpool_idx := 0, media_mgw_offer_ipv6 := true, last_used_eutran_plmn := omit, exp_fast_return := false, expect_channel_mode_modify := false, expect_tsc := 2, cell_id_source := { elementIdentifier := '05'O, lengthIndicator := 0, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_LAC_CI := { lac := '0001'O, ci := '0001'O } } }, expect_ho_fail := false, expect_ho_fail_lchan_est := false, inter_bsc_ho_in__ho_req_in_initial_sccp_cr := true, ignore_mgw_mdcx := false, fail_on_dlcx := true, ignore_ipa_media := false, asci_test := { vgcs_setup_ok := false, vgcs_assign_ok := false, vgcs_assign_fail := false, vgcs_talker_req := false, vgcs_talker_fail := false, vgcs_talker_est := false, vgcs_talker_rel := false, vgcs_uplink_reject := false, vgcs_uplink_seized := false, vgcs_uplink_release := false, delay_bts := false, delay_msc := false } }) on component TC_no_msc(1831). 10:45:12.286752 mtc BSC_Tests.ttcn:4052 Function was started. 10:45:12.287136 1831 - Starting function f_handler_init(refers(BSC_Tests.f_tc_no_msc), "TC_no_msc", { ra := '23'O ("#"), fn := 23, imsi := '001010455239385'H, imei := '00101342170040'H, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, media_nr := 1, ass_codec_list := omit, expect_mr_conf_ie := omit, expect_mr_s0_s7 := omit, encr := omit, lcls := { gcr := omit, cfg := omit, csc := omit, exp_sts := omit, adjust_cx_exp := true }, sccp_addr_msc := omit, sccp_addr_bsc := omit, exp_ms_power_level := 7, exp_ms_power_params := false, aoip := false, use_osmux_cn := false, use_osmux_bts := false, host_aoip_tla := "1.2.3.4", mscpool := { bssap_idx := 0, rsl_idx := 0, l3_info := omit }, mgwpool_idx := 0, media_mgw_offer_ipv6 := true, last_used_eutran_plmn := omit, exp_fast_return := false, expect_channel_mode_modify := false, expect_tsc := 2, cell_id_source := { elementIdentifier := '05'O, lengthIndicator := 0, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_LAC_CI := { lac := '0001'O, ci := '0001'O } } }, expect_ho_fail := false, expect_ho_fail_lchan_est := false, inter_bsc_ho_in__ho_req_in_initial_sccp_cr := true, ignore_mgw_mdcx := false, fail_on_dlcx := true, ignore_ipa_media := false, asci_test := { vgcs_setup_ok := false, vgcs_assign_ok := false, vgcs_assign_fail := false, vgcs_talker_req := false, vgcs_talker_fail := false, vgcs_talker_est := false, vgcs_talker_rel := false, vgcs_uplink_reject := false, vgcs_uplink_seized := false, vgcs_uplink_release := false, delay_bts := false, delay_msc := false } }). 10:45:12.287826 1831 MGCP_Templates.ttcn:396 Random number generator was initialized with seed 3906.287825: srand48(699885775). 10:45:12.287846 1831 MGCP_Templates.ttcn:396 Function rnd() returned 0.843033. 10:45:12.287886 1831 MGCP_Templates.ttcn:396 Function rnd() returned 0.874689. 10:45:12.287925 1831 MSC_ConnectionHandler.ttcn:576 Mapping port TC_no_msc(1831):BSCVTY to system:BSCVTY. 10:45:12.288447 1831 MSC_ConnectionHandler.ttcn:576 Message enqueued on BSCVTY from system integer : 2 id 1 10:45:12.288579 1831 MSC_ConnectionHandler.ttcn:576 Port BSCVTY was mapped to system:BSCVTY. 10:45:12.288631 1831 MSC_ConnectionHandler.ttcn:576 Map operation of TC_no_msc(1831):BSCVTY to system:BSCVTY finished. 10:45:12.288914 1831 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 10:45:12.289041 1831 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 10:45:12.289074 1831 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 10:45:12.289330 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 10:45:12.289478 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.289583 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.289601 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.289613 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.289625 1831 Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.289653 1831 Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.289756 1831 Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 10:45:12.289799 1831 Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 10:45:12.289822 1831 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 10:45:12.289967 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 10:45:12.290103 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.290154 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.290171 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 10:45:12.290186 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 10:45:12.290226 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.290350 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show running-config" 10:45:12.290392 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.293305 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 3 10:45:12.293479 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 4 10:45:12.294766 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.295933 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.296999 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:12.298062 1831 Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:12.298862 1831 Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with ? matched 10:45:12.299692 1831 Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.124.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.124.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.124.20\n remote-ip 172.18.124.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.124.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 3 10:45:12.299755 1831 Osmocom_VTY_Functions.ttcn:85 Message with id 3 was extracted from the queue of BSCVTY. 10:45:12.299773 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.299788 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.299795 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 4 10:45:12.299801 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of BSCVTY. 10:45:12.299808 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.299923 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:12.299943 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.300172 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 5 10:45:12.300216 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.300235 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.300256 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.300263 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 5 10:45:12.300275 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of BSCVTY. 10:45:12.300283 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.300304 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 10:45:12.300327 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.300462 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 6 10:45:12.300505 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.300524 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.300544 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.300552 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 6 10:45:12.300559 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of BSCVTY. 10:45:12.300566 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.300602 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "no allow-attach" 10:45:12.300625 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.300767 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 7 10:45:12.300812 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.300830 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.300850 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.300857 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 7 10:45:12.300864 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 7 was extracted from the queue of BSCVTY. 10:45:12.300871 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.300879 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:12.300900 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.301028 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 8 10:45:12.301070 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.301088 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.301107 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.301114 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 8 10:45:12.301121 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of BSCVTY. 10:45:12.301128 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.301135 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:12.301156 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.301275 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 9 10:45:12.301326 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.301354 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.301362 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 9 10:45:12.301370 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 9 was extracted from the queue of BSCVTY. 10:45:12.301379 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.302137 1831 BSC_Tests.ttcn:10928 enc_PDU_ML3_MS_NW(): Encoding @MobileL3_Types.PDU_ML3_MS_NW: { discriminator := '0000'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { mm := { locationUpdateRequest := { messageType := '000000'B, nsd := '00'B, locationUpdatingType := { lut := '10'B, spare1_1 := '0'B, fop := '0'B }, cipheringKeySequenceNumber := { keySequence := '000'B, spare := '0'B }, locationAreaIdentification := { mcc_mnc := '00F110'O, lac := '172A'O }, mobileStationClassmark1 := { rf_PowerCapability := '010'B, a5_1 := '0'B, esind := '1'B, revisionLevel := '10'B, spare1_1 := '0'B }, mobileIdentityLV := { lengthIndicator := 0, mobileIdentityV := { typeOfIdentity := '001'B, oddEvenInd_identity := { imsi := { oddevenIndicator := '1'B, digits := '001010000100001'H, fillerDigit := omit } } } }, classmarkInformationType2_forUMTS := omit, additionalUpdateParameterTV := omit, deviceProperties := omit, mS_NetworkFeatureSupport := omit } } } } 10:45:12.302471 1831 BSC_Tests.ttcn:10928 enc_PDU_ML3_MS_NW(): Stream after encoding: '05080200F110172A52080910100000010010'O 10:45:12.302740 1831 RSL_Emulation.ttcn:159 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } 10:45:12.302894 1830 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_no_msc(1831) @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } id 1 10:45:12.302988 1830 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT succeeded: matched 10:45:12.303000 1830 RSL_Emulation.ttcn:628 Receive operation on port CLIENT_PT succeeded, message from TC_no_msc(1831): @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } id 1 10:45:12.303013 1830 RSL_Emulation.ttcn:628 Message with id 1 was extracted from the queue of CLIENT_PT. 10:45:12.303041 1831 RSL_Emulation.ttcn:120 Start timer T: 10 s 10:45:12.303224 1830 RSL_Emulation.ttcn:631 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } 10:45:12.303368 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 2 10:45:12.303411 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:12.303420 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 2 10:45:12.303426 1829 IPA_Emulation.ttcnpp:913 Message with id 2 was extracted from the queue of IPA_RSL_PORT. 10:45:12.303431 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } 10:45:12.303472 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C130188132302F71100'O 10:45:12.303484 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C130188132302F71100'O } 10:45:12.303491 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C130188132302F71100'O } 10:45:12.303499 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000A000C130188132302F71100'O 10:45:12.303506 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000A000C130188132302F71100'O } 10:45:12.303805 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001C00082101200300060403030100050664204367720004000D0718001F00'O } id 16 10:45:12.303826 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001C00082101200300060403030100050664204367720004000D0718001F00'O 10:45:12.303841 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 28, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082101200300060403030100050664204367720004000D0718001F00'O } 10:45:12.303855 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101200300060403030100050664204367720004000D0718001F00'O } id 16 10:45:12.303864 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.303870 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.303875 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101200300060403030100050664204367720004000D0718001F00'O } id 16 10:45:12.303880 1829 IPA_Emulation.ttcnpp:753 Message with id 16 was extracted from the queue of IPA_PORT. 10:45:12.303885 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082101200300060403030100050664204367720004000D0718001F00'O 10:45:12.304086 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } 10:45:12.304593 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 10:45:12.304921 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 10:45:12.304951 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.304958 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.304962 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.304991 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_CHAN_ACTIV (33) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:12.305013 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_CHAN_ACTIV (33) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:12.305022 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:12.305030 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:12.305039 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT succeeded: matched 10:45:12.305050 1830 RSL_Emulation.ttcn:594 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 10:45:12.305057 1830 RSL_Emulation.ttcn:594 Message with id 16 was extracted from the queue of IPA_PT. 10:45:12.305155 1830 RSL_Emulation.ttcn:600 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } 10:45:12.305267 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } id 3 10:45:12.305304 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:12.305313 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } id 3 10:45:12.305319 1829 IPA_Emulation.ttcnpp:913 Message with id 3 was extracted from the queue of IPA_RSL_PORT. 10:45:12.305324 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } 10:45:12.305356 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '082201200802F7'O 10:45:12.305366 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082201200802F7'O } 10:45:12.305373 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082201200802F7'O } 10:45:12.305380 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000700082201200802F7'O 10:45:12.305387 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000700082201200802F7'O } 10:45:12.305606 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001D000C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 10:45:12.305628 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001D000C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 10:45:12.305639 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 29, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } 10:45:12.305653 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 10:45:12.305662 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.305669 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.305675 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 10:45:12.305681 1829 IPA_Emulation.ttcnpp:753 Message with id 17 was extracted from the queue of IPA_PORT. 10:45:12.305686 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 10:45:12.305714 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } 10:45:12.305755 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } 10:45:12.305851 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 10:45:12.305879 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.305885 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.305888 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.305915 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT succeeded: matched 10:45:12.305924 1830 RSL_Emulation.ttcn:526 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 10:45:12.305936 1830 RSL_Emulation.ttcn:526 Message with id 17 was extracted from the queue of IPA_PT. 10:45:12.305994 1830 RSL_Emulation.ttcn:530 dec_GsmRrMessage(): Stream before decoding: '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 10:45:12.306380 1830 RSL_Emulation.ttcn:530 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_SAME_AS_BEFORE (3), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00100011'B, t1p := 0, t3 := 23, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } 10:45:12.306625 1830 RSL_Emulation.ttcn:547 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } 10:45:12.306960 1831 RSL_Emulation.ttcn:121 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } id 1 10:45:12.307161 1831 RSL_Emulation.ttcn:122 Matching on port RSL succeeded: matched 10:45:12.307182 1831 RSL_Emulation.ttcn:122 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } id 1 10:45:12.307193 1831 RSL_Emulation.ttcn:122 Message with id 1 was extracted from the queue of RSL. 10:45:12.307205 1831 RSL_Emulation.ttcn:123 Stop timer T: 10 s 10:45:12.307276 1831 RSL_Emulation.ttcn:163 dec_GsmRrMessage(): Stream before decoding: '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O 10:45:12.307631 1831 RSL_Emulation.ttcn:163 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_SAME_AS_BEFORE (3), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00100011'B, t1p := 0, t3 := 23, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '1'B }, lh := omit, hl := omit, hh := omit } } } } 10:45:12.308074 1831 RSL_Emulation.ttcn:170 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } 10:45:12.308211 1831 RSL_Emulation.ttcn:809 Called on RSL_PROC to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } 10:45:12.308259 1830 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } id 2 10:45:12.308322 1830 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 10:45:12.308341 1830 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 10:45:12.308351 1830 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_no_msc(1831): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } id 2 10:45:12.308358 1830 RSL_Emulation.ttcn:640 Message with id 2 was extracted from the queue of CLIENT_PT. 10:45:12.308461 1830 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } } 10:45:12.308526 1830 RSL_Emulation.ttcn:496 Call enqueued on RSL_PROC from TC_no_msc(1831) @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } id 1 10:45:12.308550 1830 RSL_Emulation.ttcn:670 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_register. 10:45:12.308555 1830 RSL_Emulation.ttcn:675 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_unregister. 10:45:12.308559 1830 RSL_Emulation.ttcn:681 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_suspend. 10:45:12.308562 1830 RSL_Emulation.ttcn:687 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_suspend. 10:45:12.308566 1830 RSL_Emulation.ttcn:693 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_wait_queue. 10:45:12.308569 1830 RSL_Emulation.ttcn:708 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_wait_queue. 10:45:12.308575 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } } id 4 10:45:12.308582 1830 RSL_Emulation.ttcn:713 Matching on port RSL_PROC succeeded: { trx_nr := 0 with ? matched, chan_nr := matched } 10:45:12.308610 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:12.308616 1830 RSL_Emulation.ttcn:713 Getcall operation on port RSL_PROC succeeded, call from TC_no_msc(1831): @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } id 1 10:45:12.308621 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } } id 4 10:45:12.308623 1830 RSL_Emulation.ttcn:713 Operation with id 1 was extracted from the queue of RSL_PROC. 10:45:12.308628 1829 IPA_Emulation.ttcnpp:913 Message with id 4 was extracted from the queue of IPA_RSL_PORT. 10:45:12.308633 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } 10:45:12.308670 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0206012002000B001205080200F110172A52080910100000010010'O 10:45:12.308682 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0206012002000B001205080200F110172A52080910100000010010'O } 10:45:12.308689 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0206012002000B001205080200F110172A52080910100000010010'O } 10:45:12.308697 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '001B000206012002000B001205080200F110172A52080910100000010010'O 10:45:12.308710 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '001B000206012002000B001205080200F110172A52080910100000010010'O } 10:45:12.308945 1830 RSL_Emulation.ttcn:715 Replied on RSL_PROC to TC_no_msc(1831) @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 10:45:12.309061 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000C000301012002000B0003060D20'O } id 18 10:45:12.309082 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000C000301012002000B0003060D20'O 10:45:12.309092 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 12, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0301012002000B0003060D20'O } 10:45:12.309101 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301012002000B0003060D20'O } id 18 10:45:12.309108 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '00040008250120'O } id 19 10:45:12.309113 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '00040008250120'O 10:45:12.309118 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08250120'O } 10:45:12.309124 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250120'O } id 19 10:45:12.309129 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 3003, locName := "172.18.124.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400082E0120'O } id 20 10:45:12.309134 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400082E0120'O 10:45:12.309138 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082E0120'O } 10:45:12.309143 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0120'O } id 20 10:45:12.309151 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.309157 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.309162 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301012002000B0003060D20'O } id 18 10:45:12.309167 1829 IPA_Emulation.ttcnpp:753 Message with id 18 was extracted from the queue of IPA_PORT. 10:45:12.309172 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0301012002000B0003060D20'O 10:45:12.309197 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } 10:45:12.309257 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } } 10:45:12.309282 1831 RSL_Emulation.ttcn:809 Reply enqueued on RSL_PROC from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 1 10:45:12.309285 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.309291 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.309296 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250120'O } id 19 10:45:12.309300 1829 IPA_Emulation.ttcnpp:753 Message with id 19 was extracted from the queue of IPA_PORT. 10:45:12.309304 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '08250120'O 10:45:12.309322 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.309328 1831 RSL_Emulation.ttcn:810 Matching on port RSL_PROC succeeded: { chan_act := matched } 10:45:12.309336 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 10:45:12.309343 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.309348 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.309352 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0120'O } id 20 10:45:12.309354 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } } id 18 10:45:12.309357 1829 IPA_Emulation.ttcnpp:753 Message with id 20 was extracted from the queue of IPA_PORT. 10:45:12.309360 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082E0120'O 10:45:12.309362 1831 RSL_Emulation.ttcn:810 Getreply operation on port RSL_PROC succeeded, reply from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 1 10:45:12.309366 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.309373 1831 RSL_Emulation.ttcn:810 Operation with id 1 was extracted from the queue of RSL_PROC. 10:45:12.309380 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 10:45:12.309383 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.309389 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.309392 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.309430 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched.rsl.msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:12.309455 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched.rsl.msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:12.309464 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:12.309470 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:12.309476 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 10:45:12.309483 1830 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 10:45:12.309490 1830 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } } id 18 10:45:12.309498 1830 RSL_Emulation.ttcn:606 Message with id 18 was extracted from the queue of IPA_PT. 10:45:12.309520 1830 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } 10:45:12.309551 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 19 10:45:12.309562 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 20 10:45:12.309568 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.309572 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.309575 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.309586 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:12.309598 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:12.309605 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:12.309611 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:12.309617 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 10:45:12.309623 1830 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 10:45:12.309628 1830 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 19 10:45:12.309633 1830 RSL_Emulation.ttcn:606 Message with id 19 was extracted from the queue of IPA_PT. 10:45:12.309640 1830 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.309646 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.309650 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.309652 1831 BSC_Tests.ttcn:10932 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } id 2 10:45:12.309654 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.309663 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:12.309677 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:12.309684 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:12.309689 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:12.309695 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MT_RF_CHAN_REL (46) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 10:45:12.309700 1830 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 10:45:12.309705 1830 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 20 10:45:12.309710 1830 RSL_Emulation.ttcn:606 Message with id 20 was extracted from the queue of IPA_PT. 10:45:12.309716 1830 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.310435 1831 BSC_Tests.ttcn:10933 Matching on port RSL succeeded: matched 10:45:12.310452 1831 BSC_Tests.ttcn:10933 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } id 2 10:45:12.310462 1831 BSC_Tests.ttcn:10933 Message with id 2 was extracted from the queue of RSL. 10:45:12.310481 1831 Osmocom_VTY_Functions.ttcn:333 "Got RSL RR Release" 10:45:12.310512 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL RR Release" 10:45:12.310536 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.310554 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:12.310564 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 4 10:45:12.310744 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 10 10:45:12.310805 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.310833 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.310843 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 10 10:45:12.310853 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 10 was extracted from the queue of BSCVTY. 10:45:12.310864 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.310889 1831 BSC_Tests.ttcn:10936 Matching on port RSL succeeded: matched 10:45:12.310898 1831 BSC_Tests.ttcn:10936 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:12.310907 1831 BSC_Tests.ttcn:10936 Message with id 3 was extracted from the queue of RSL. 10:45:12.310913 1831 Osmocom_VTY_Functions.ttcn:333 "Got RSL Deact SACCH" 10:45:12.310927 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL Deact SACCH" 10:45:12.310952 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.311090 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 11 10:45:12.311126 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.311144 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.311155 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 11 10:45:12.311162 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 11 was extracted from the queue of BSCVTY. 10:45:12.311170 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.311183 1831 BSC_Tests.ttcn:10939 Matching on port RSL succeeded: matched 10:45:12.311193 1831 BSC_Tests.ttcn:10939 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 4 10:45:12.311200 1831 BSC_Tests.ttcn:10939 Message with id 4 was extracted from the queue of RSL. 10:45:12.311205 1831 Osmocom_VTY_Functions.ttcn:333 "Got RSL RF Chan Rel, sending Rel Ack" 10:45:12.311217 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL RF Chan Rel, sending Rel Ack" 10:45:12.311235 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.311385 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 12 10:45:12.311432 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.311460 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.311471 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 12 10:45:12.311480 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 12 was extracted from the queue of BSCVTY. 10:45:12.311488 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.311596 1831 BSC_Tests.ttcn:10941 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.311686 1831 RSL_Emulation.ttcn:766 Called on RSL_PROC to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_no_msc(1831) } 10:45:12.311696 1830 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:12.311757 1830 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 10:45:12.311768 1830 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 10:45:12.311776 1830 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_no_msc(1831): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:12.311783 1830 RSL_Emulation.ttcn:640 Message with id 3 was extracted from the queue of CLIENT_PT. 10:45:12.311802 1830 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 10:45:12.311877 1830 RSL_Emulation.ttcn:496 Call enqueued on RSL_PROC from TC_no_msc(1831) @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_no_msc(1831) } id 2 10:45:12.311891 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 5 10:45:12.311911 1830 RSL_Emulation.ttcn:670 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_register. 10:45:12.311930 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:12.311930 1830 RSL_Emulation.ttcn:675 Matching on port RSL_PROC succeeded: { trx_nr := 0 with ? matched, chan_nr := matched, hdlr := TC_no_msc(1831) with ? matched } 10:45:12.311941 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 5 10:45:12.311948 1830 RSL_Emulation.ttcn:675 Getcall operation on port RSL_PROC succeeded, call from TC_no_msc(1831): @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_no_msc(1831) } id 1 10:45:12.311954 1829 IPA_Emulation.ttcnpp:913 Message with id 5 was extracted from the queue of IPA_RSL_PORT. 10:45:12.311955 1830 RSL_Emulation.ttcn:675 Operation with id 2 was extracted from the queue of RSL_PROC. 10:45:12.311962 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.311979 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '08330120'O 10:45:12.311990 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08330120'O } 10:45:12.311994 1830 RSL_Emulation.ttcn:678 Replied on RSL_PROC to TC_no_msc(1831) @RSL_Emulation.RSLEM_unregister : { } 10:45:12.311997 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08330120'O } 10:45:12.312007 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '00040008330120'O 10:45:12.312014 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '00040008330120'O } 10:45:12.312064 1831 RSL_Emulation.ttcn:766 Reply enqueued on RSL_PROC from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_unregister : { } id 2 10:45:12.312095 1831 RSL_Emulation.ttcn:767 Matching on port RSL_PROC succeeded: { } with { } matched 10:45:12.312104 1831 RSL_Emulation.ttcn:767 Getreply operation on port RSL_PROC succeeded, reply from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Emulation.RSLEM_unregister : { } id 1 10:45:12.312113 1831 RSL_Emulation.ttcn:767 Operation with id 2 was extracted from the queue of RSL_PROC. 10:45:12.312134 1831 BSC_Tests.ttcn:10945 setverdict(pass): none -> pass 10:45:12.312162 1831 - Function f_handler_init finished. PTC terminates. 10:45:12.312169 1831 - Terminating component type MSC_ConnectionHandler.MSC_ConnHdlr. 10:45:12.312175 1831 - Port BSSAP_LE was stopped. 10:45:12.312183 1831 - Port BSSAP_LE_PROC was stopped. 10:45:12.312187 1831 - Removing unterminated connection between port STATSD_PROC and VirtMSC-STATS(1822):STATSD_PROC. 10:45:12.312205 1831 - Port STATSD_PROC was stopped. 10:45:12.312209 1831 - Removing unterminated connection between port MGCP and VirtMGW-MGCP-0(1828):MGCP_CLIENT. 10:45:12.312219 1831 - Port MGCP was stopped. 10:45:12.312223 1831 - Removing unterminated connection between port MGCP_MULTI and VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI. 10:45:12.312230 1828 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT to TC_no_msc(1831):MGCP was closed unexpectedly by the peer. 10:45:12.312231 1831 - Port MGCP_MULTI was stopped. 10:45:12.312235 1831 - Removing unterminated connection between port MGCP_PROC and VirtMGW-MGCP-0(1828):MGCP_PROC. 10:45:12.312247 1831 - Port MGCP_PROC was stopped. 10:45:12.312251 1831 - Removing unterminated connection between port BSSAP and VirtMSC-RAN(1824):CLIENT. 10:45:12.312253 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT was disconnected from TC_no_msc(1831):MGCP. 10:45:12.312254 1822 StatsD_Checker.ttcn:119 Connection of port STATSD_PROC to TC_no_msc(1831):STATSD_PROC was closed unexpectedly by the peer. 10:45:12.312268 1831 - Port BSSAP was stopped. 10:45:12.312275 1831 - Port BSSAP_PROC was stopped. 10:45:12.312279 1831 - Removing unterminated connection between port RSL and IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT. 10:45:12.312283 1822 StatsD_Checker.ttcn:119 Port STATSD_PROC was disconnected from TC_no_msc(1831):STATSD_PROC. 10:45:12.312286 1828 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT_MULTI to TC_no_msc(1831):MGCP_MULTI was closed unexpectedly by the peer. 10:45:12.312289 1831 - Port RSL was stopped. 10:45:12.312292 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI was disconnected from TC_no_msc(1831):MGCP_MULTI. 10:45:12.312293 1831 - Removing unterminated connection between port RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC. 10:45:12.312302 1831 - Port RSL_PROC was stopped. 10:45:12.312306 1828 MGCP_Emulation.ttcn:290 Connection of port MGCP_PROC to TC_no_msc(1831):MGCP_PROC was closed unexpectedly by the peer. 10:45:12.312306 1831 - Port RSL1 was stopped. 10:45:12.312310 1831 - Port RSL1_PROC was stopped. 10:45:12.312312 1828 MGCP_Emulation.ttcn:290 Port MGCP_PROC was disconnected from TC_no_msc(1831):MGCP_PROC. 10:45:12.312314 1831 - Port RSL2 was stopped. 10:45:12.312318 1831 - Port RSL2_PROC was stopped. 10:45:12.312322 1831 - Removing unterminated connection between port RAN and VirtMSC-RAN(1824):PROC. 10:45:12.312328 1824 RAN_Emulation.ttcnpp:1249 Connection of port CLIENT to TC_no_msc(1831):BSSAP was closed unexpectedly by the peer. 10:45:12.312330 1831 - Port RAN was stopped. 10:45:12.312334 1831 - Removing unterminated mapping between port BSCVTY and system:BSCVTY. 10:45:12.312348 1830 RSL_Emulation.ttcn:496 Connection of port CLIENT_PT to TC_no_msc(1831):RSL was closed unexpectedly by the peer. 10:45:12.312356 1824 RAN_Emulation.ttcnpp:1249 Port CLIENT was disconnected from TC_no_msc(1831):BSSAP. 10:45:12.312374 1830 RSL_Emulation.ttcn:496 Port CLIENT_PT was disconnected from TC_no_msc(1831):RSL. 10:45:12.312391 1831 - Port BSCVTY was unmapped from system:BSCVTY. 10:45:12.312404 1830 RSL_Emulation.ttcn:496 Connection of port RSL_PROC to TC_no_msc(1831):RSL_PROC was closed unexpectedly by the peer. 10:45:12.312404 1831 - Port BSCVTY was stopped. 10:45:12.312411 1831 - Port COORD was stopped. 10:45:12.312412 1830 RSL_Emulation.ttcn:496 Port RSL_PROC was disconnected from TC_no_msc(1831):RSL_PROC. 10:45:12.312415 1831 - Port COORD2 was stopped. 10:45:12.312418 1824 RAN_Emulation.ttcnpp:1249 Connection of port PROC to TC_no_msc(1831):RAN was closed unexpectedly by the peer. 10:45:12.312423 1831 - Port MGCP_MSC_CLIENT was stopped. 10:45:12.312425 1824 RAN_Emulation.ttcnpp:1249 Port PROC was disconnected from TC_no_msc(1831):RAN. 10:45:12.312428 1831 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was shut down inside testcase TC_no_msc. 10:45:12.312435 1831 - Final verdict of PTC: pass 10:45:12.312519 mtc BSC_Tests.ttcn:10957 PTC with component reference 1831 is done. 10:45:12.312584 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.362771. 10:45:12.312623 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "362770886", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:12.312655 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:12.312671 1831 - Disconnected from MC. 10:45:12.312672 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "362770886", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 5 10:45:12.312696 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:12.312703 1831 - TTCN-3 Parallel Test Component finished. 10:45:12.312706 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "362770886", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 5 10:45:12.312714 1827 IPA_Emulation.ttcnpp:879 Message with id 5 was extracted from the queue of IPA_CTRL_PORT. 10:45:12.312720 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "362770886", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:12.312730 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc" 10:45:12.312750 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542033363237373038383620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:12.312759 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542033363237373038383620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:12.312777 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0037EE004745542033363237373038383620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O 10:45:12.312792 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0037EE004745542033363237373038383620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O } 10:45:12.312925 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.124.20", remPort := 4249, locName := "172.18.124.203", locPort := 43899, proto := { tcp := { } }, userData := 0, msg := '003FEE004745545F5245504C592033363237373038383620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O } id 7 10:45:12.312933 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003FEE004745545F5245504C592033363237373038383620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O 10:45:12.312946 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592033363237373038383620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O ("GET_REPLY 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1") } 10:45:12.312964 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592033363237373038383620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O ("GET_REPLY 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1") } id 7 10:45:12.312977 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.312987 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592033363237373038383620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O ("GET_REPLY 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1") } id 7 10:45:12.312994 1827 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 10:45:12.313000 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1" 10:45:12.313009 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.313014 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:12.313020 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:12.313031 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.313035 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:12.313042 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:12.313047 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:12.313052 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.313057 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.313062 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:12.313072 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.313076 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:12.313083 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:12.313087 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:12.313092 1827 IPA_Emulation.ttcnpp:627 match_begin data: 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.313097 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.313102 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.313107 1827 IPA_Emulation.ttcnpp:627 match_first data: 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.313111 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.313116 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:12.313121 1827 IPA_Emulation.ttcnpp:627 match_list data: 362770886 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.313125 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:12.313130 1827 IPA_Emulation.ttcnpp:627 match_begin data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.313135 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.313141 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.313147 1827 IPA_Emulation.ttcnpp:627 match_first data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.313151 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.313156 1827 IPA_Emulation.ttcnpp:627 match_first result: 40 10:45:12.313161 1827 IPA_Emulation.ttcnpp:627 match_list data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.313165 1827 IPA_Emulation.ttcnpp:627 match_list result: 40 10:45:12.313170 1827 IPA_Emulation.ttcnpp:627 match_begin data: 1 10:45:12.313174 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.313179 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.313183 1827 IPA_Emulation.ttcnpp:627 match_first data: 1 10:45:12.313188 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.313193 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:12.313197 1827 IPA_Emulation.ttcnpp:627 match_list data: 1 10:45:12.313201 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:12.313206 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "362770886", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } 10:45:12.313219 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "362770886", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } 10:45:12.313285 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "362770886", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } id 8 10:45:12.313332 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:12.313358 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "362770886", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } id 8 10:45:12.313377 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 8 was extracted from the queue of IPA_CTRL. 10:45:12.313401 mtc Osmocom_CTRL_Functions.ttcn:312 setverdict(pass): none -> pass 10:45:12.313444 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show talloc-context application full filter \\\\|\\" 10:45:12.313494 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.313811 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" id 31 10:45:12.313850 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 32 10:45:12.313903 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.313949 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.313990 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:12.314017 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:12.314039 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with ? matched 10:45:12.314058 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" id 31 10:45:12.314074 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 31 was extracted from the queue of BSCVTY. 10:45:12.314115 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.314151 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.314169 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 32 10:45:12.314185 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 32 was extracted from the queue of BSCVTY. 10:45:12.314202 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.314221 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct bsc_subscr" x 0, expecting 0 10:45:12.314250 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct gsm_subscriber_connection" x 0, expecting 0 10:45:12.314276 mtc BSC_Tests.ttcn:918 Stopping all components. 10:45:12.314319 1822 StatsD_Checker.ttcn:119 Kill was requested from MC. 10:45:12.314325 1824 RAN_Emulation.ttcnpp:1249 Kill was requested from MC. 10:45:12.314327 1823 SCCP_Emulation.ttcn:5513 Kill was requested from MC. 10:45:12.314328 1825 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 10:45:12.314340 1825 IPA_Emulation.ttcnpp:735 Terminating test component execution. 10:45:12.314341 1827 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 10:45:12.314345 1829 IPA_Emulation.ttcnpp:735 Stop was requested from MC. 10:45:12.314347 1822 StatsD_Checker.ttcn:119 Terminating test component execution. 10:45:12.314351 1824 RAN_Emulation.ttcnpp:1249 Terminating test component execution. 10:45:12.314358 1823 SCCP_Emulation.ttcn:5513 Terminating test component execution. 10:45:12.314371 1828 MGCP_Emulation.ttcn:290 Stop was requested from MC. 10:45:12.314381 1827 IPA_Emulation.ttcnpp:735 Terminating test component execution. 10:45:12.314386 1829 IPA_Emulation.ttcnpp:735 Stopping test component execution. 10:45:12.314420 1828 MGCP_Emulation.ttcn:290 Stopping test component execution. 10:45:12.314436 1830 RSL_Emulation.ttcn:496 Stop was requested from MC. 10:45:12.314476 1830 RSL_Emulation.ttcn:496 Stopping test component execution. 10:45:12.314631 1822 - Function main was stopped. PTC terminates. 10:45:12.314649 1824 - Function main was stopped. PTC terminates. 10:45:12.314658 1822 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 10:45:12.314662 1823 - Function SCCPStart was stopped. PTC terminates. 10:45:12.314666 1822 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 10:45:12.314674 1824 - Terminating component type RAN_Emulation.RAN_Emulation_CT. 10:45:12.314682 1824 - Removing unterminated connection between port BSSAP and VirtMSC-SCCP(1823):SCCP_SP_PORT. 10:45:12.314690 1825 - Function main_server was stopped. PTC terminates. 10:45:12.314693 1823 - Terminating component type SCCP_Emulation.SCCP_CT. 10:45:12.314705 1824 - Port BSSAP was stopped. 10:45:12.314711 1824 - Port CLIENT was stopped. 10:45:12.314715 1824 - Removing unterminated connection between port MGCP and VirtMSC-IPA(1825):IPA_MGCP_PORT. 10:45:12.314716 1825 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:45:12.314723 1822 - Port STATSVTY was unmapped from system:STATSVTY. 10:45:12.314724 1825 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:45:12.314728 1828 - Function main was stopped. PTC remains alive and is waiting for next start. 10:45:12.314734 1824 - Port MGCP was stopped. 10:45:12.314737 1822 - Port STATSVTY was stopped. 10:45:12.314738 1823 - Component type SCCP_Emulation.SCCP_CT was shut down inside testcase TC_no_msc. 10:45:12.314740 1824 - Removing unterminated connection between port CTRL and VirtMSC-IPA(1825):IPA_CTRL_PORT. 10:45:12.314743 1822 - Port STATSD_PROC was stopped. 10:45:12.314747 1823 - Final verdict of PTC: none 10:45:12.314748 1822 - Removing unterminated mapping between port STATS and system:STATS. 10:45:12.314756 1824 - Port CTRL was stopped. 10:45:12.314762 1824 - Removing unterminated connection between port CTRL_CLIENT and mtc:SCCPLITE_IPA_CTRL. 10:45:12.314773 1824 - Port CTRL_CLIENT was stopped. 10:45:12.314778 1824 - Port PROC was stopped. 10:45:12.314782 1824 - Component type RAN_Emulation.RAN_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.314789 1824 - Final verdict of PTC: none 10:45:12.314801 mtc BSC_Tests.ttcn:918 Connection of port SCCPLITE_IPA_CTRL to VirtMSC-RAN(1824):CTRL_CLIENT was closed unexpectedly by the peer. 10:45:12.314831 1824 - Disconnected from MC. 10:45:12.314840 1824 - TTCN-3 Parallel Test Component finished. 10:45:12.314855 mtc BSC_Tests.ttcn:918 Port SCCPLITE_IPA_CTRL was disconnected from VirtMSC-RAN(1824):CTRL_CLIENT. 10:45:12.315034 1830 - Function main was stopped. PTC remains alive and is waiting for next start. 10:45:12.315136 1822 - Port STATS was unmapped from system:STATS. 10:45:12.315168 1825 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:45:12.315179 1822 - Port STATS was stopped. 10:45:12.315197 1822 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_no_msc. 10:45:12.315212 1825 - Port IPA_PORT was stopped. 10:45:12.315224 1822 - Final verdict of PTC: none 10:45:12.315233 1825 - Port CFG_PORT was stopped. 10:45:12.315250 1825 - Removing unterminated connection between port MTP3_SP_PORT and VirtMSC-SCCP(1823):MTP3_SCCP_PORT. 10:45:12.315294 1825 - Port MTP3_SP_PORT was stopped. 10:45:12.315304 1827 - Function main_client was stopped. PTC terminates. 10:45:12.315309 1825 - Removing unterminated connection between port IPA_MGCP_PORT and VirtMSC-RAN(1824):MGCP. 10:45:12.315337 1829 - Function main_client was stopped. PTC remains alive and is waiting for next start. 10:45:12.315342 1825 - Port IPA_MGCP_PORT was stopped. 10:45:12.315360 1825 - Port IPA_RSL_PORT was stopped. 10:45:12.315370 1822 - Disconnected from MC. 10:45:12.315376 1825 - Port IPA_OML_PORT was stopped. 10:45:12.315392 1825 - Removing unterminated connection between port IPA_CTRL_PORT and VirtMSC-RAN(1824):CTRL. 10:45:12.315408 1822 - TTCN-3 Parallel Test Component finished. 10:45:12.315425 1825 - Port IPA_CTRL_PORT was stopped. 10:45:12.315442 1825 - Port IPA_SP_PORT was stopped. 10:45:12.315456 1825 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.315482 1825 - Final verdict of PTC: none 10:45:12.315499 1827 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:45:12.315566 1827 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:45:12.315899 1825 - Disconnected from MC. 10:45:12.316008 1825 - TTCN-3 Parallel Test Component finished. 10:45:12.316740 1827 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:45:12.316780 1827 - Port IPA_PORT was stopped. 10:45:12.316796 1827 - Port CFG_PORT was stopped. 10:45:12.316808 1827 - Port MTP3_SP_PORT was stopped. 10:45:12.316821 1827 - Port IPA_MGCP_PORT was stopped. 10:45:12.316833 1827 - Port IPA_RSL_PORT was stopped. 10:45:12.316845 1827 - Port IPA_OML_PORT was stopped. 10:45:12.316857 1827 - Removing unterminated connection between port IPA_CTRL_PORT and mtc:IPA_CTRL. 10:45:12.316888 1827 - Port IPA_CTRL_PORT was stopped. 10:45:12.316902 1827 - Port IPA_SP_PORT was stopped. 10:45:12.316904 mtc BSC_Tests.ttcn:918 Connection of port IPA_CTRL to IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT was closed unexpectedly by the peer. 10:45:12.316915 1827 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.316937 1827 - Final verdict of PTC: none 10:45:12.316942 mtc BSC_Tests.ttcn:918 Port IPA_CTRL was disconnected from IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT. 10:45:12.316987 mtc BSC_Tests.ttcn:918 All components were stopped. 10:45:12.316996 1827 - Disconnected from MC. 10:45:12.317006 mtc BSC_Tests.ttcn:919 setverdict(pass): pass -> pass, component reason not changed 10:45:12.317020 1827 - TTCN-3 Parallel Test Component finished. 10:45:12.317029 mtc BSC_Tests.ttcn:920 Stopping test component execution. 10:45:12.317069 mtc BSC_Tests.ttcn:10961 Test case TC_no_msc was stopped. 10:45:12.317086 mtc BSC_Tests.ttcn:10961 Terminating component type BSC_Tests.test_CT. 10:45:12.317103 mtc BSC_Tests.ttcn:10961 Default with id 1 (altstep as_Tguard) was deactivated. 10:45:12.317119 mtc BSC_Tests.ttcn:10961 Stop timer T_guard: 30 s 10:45:12.317138 mtc BSC_Tests.ttcn:10961 Port IPA_CTRL was stopped. 10:45:12.317153 mtc BSC_Tests.ttcn:10961 Removing unterminated connection between port RSL_CCHAN[0] and IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT. 10:45:12.317198 1830 - Connection of port CCHAN_PT to mtc:RSL_CCHAN[0] was closed unexpectedly by the peer. 10:45:12.317198 mtc BSC_Tests.ttcn:10961 Message with id 1 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317221 mtc BSC_Tests.ttcn:10961 Message with id 2 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317229 1830 - Port CCHAN_PT was disconnected from mtc:RSL_CCHAN[0]. 10:45:12.317238 mtc BSC_Tests.ttcn:10961 Message with id 3 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317255 mtc BSC_Tests.ttcn:10961 Message with id 4 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317271 mtc BSC_Tests.ttcn:10961 Message with id 5 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317287 mtc BSC_Tests.ttcn:10961 Message with id 6 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317303 mtc BSC_Tests.ttcn:10961 Message with id 7 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317319 mtc BSC_Tests.ttcn:10961 Message with id 8 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317335 mtc BSC_Tests.ttcn:10961 Message with id 9 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317350 mtc BSC_Tests.ttcn:10961 Message with id 10 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317391 mtc BSC_Tests.ttcn:10961 Message with id 11 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317434 mtc BSC_Tests.ttcn:10961 Message with id 12 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317479 mtc BSC_Tests.ttcn:10961 Message with id 13 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.317521 mtc BSC_Tests.ttcn:10961 Port RSL_CCHAN[0] was stopped. 10:45:12.317563 mtc BSC_Tests.ttcn:10961 Port RSL_CCHAN[1] was stopped. 10:45:12.317604 mtc BSC_Tests.ttcn:10961 Port RSL_CCHAN[2] was stopped. 10:45:12.317644 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][0] was stopped. 10:45:12.317684 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][1] was stopped. 10:45:12.317723 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][2] was stopped. 10:45:12.317763 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][3] was stopped. 10:45:12.317801 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][0] was stopped. 10:45:12.317840 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][1] was stopped. 10:45:12.317906 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][2] was stopped. 10:45:12.317949 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][3] was stopped. 10:45:12.317990 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][0] was stopped. 10:45:12.318030 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][1] was stopped. 10:45:12.318070 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][2] was stopped. 10:45:12.318109 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][3] was stopped. 10:45:12.318151 mtc BSC_Tests.ttcn:10961 Port IPA was stopped. 10:45:12.318191 mtc BSC_Tests.ttcn:10961 Port SCCPLITE_IPA_CTRL was stopped. 10:45:12.318229 mtc BSC_Tests.ttcn:10961 Removing unterminated connection between port IPA_CFG_PORT[0][0] and IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT. 10:45:12.318354 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][0] was stopped. 10:45:12.318390 1829 - Connection of port CFG_PORT to mtc:IPA_CFG_PORT[0][0] was closed unexpectedly by the peer. 10:45:12.318404 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][1] was stopped. 10:45:12.318447 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][2] was stopped. 10:45:12.318475 1829 - Port CFG_PORT was disconnected from mtc:IPA_CFG_PORT[0][0]. 10:45:12.318483 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][3] was stopped. 10:45:12.318521 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][0] was stopped. 10:45:12.318561 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][1] was stopped. 10:45:12.318601 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][2] was stopped. 10:45:12.318641 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][3] was stopped. 10:45:12.318681 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][0] was stopped. 10:45:12.318720 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][1] was stopped. 10:45:12.318759 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][2] was stopped. 10:45:12.318800 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][3] was stopped. 10:45:12.318840 mtc BSC_Tests.ttcn:10961 Removing unterminated mapping between port BSCVTY and system:BSCVTY. 10:45:12.318994 mtc BSC_Tests.ttcn:10961 Port BSCVTY was unmapped from system:BSCVTY. 10:45:12.319075 mtc BSC_Tests.ttcn:10961 Port BSCVTY was stopped. 10:45:12.319124 mtc BSC_Tests.ttcn:10961 Port BSSAP was stopped. 10:45:12.319166 mtc BSC_Tests.ttcn:10961 Port BSSAP_LE was stopped. 10:45:12.319208 mtc BSC_Tests.ttcn:10961 Component type BSC_Tests.test_CT was shut down inside testcase TC_no_msc. 10:45:12.319257 mtc BSC_Tests.ttcn:10961 Waiting for PTCs to finish. 10:45:12.319358 1828 - Kill was requested from MC. Terminating idle PTC. 10:45:12.319379 1829 - Kill was requested from MC. Terminating idle PTC. 10:45:12.319385 1830 - Kill was requested from MC. Terminating idle PTC. 10:45:12.319402 1828 - Terminating component type MGCP_Emulation.MGCP_Emulation_CT. 10:45:12.319429 1828 - Removing unterminated mapping between port MGCP and system:MGCP_CODEC_PT. 10:45:12.319449 1829 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:45:12.319453 1830 - Terminating component type RSL_Emulation.RSL_Emulation_CT. 10:45:12.319498 1829 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:45:12.319498 1830 - Removing unterminated connection between port IPA_PT and IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT. 10:45:12.319593 1830 - Port IPA_PT was stopped. 10:45:12.319654 1830 - Port CLIENT_PT was stopped. 10:45:12.319699 1830 - Port RSL_PROC was stopped. 10:45:12.319742 1830 - Port CCHAN_PT was stopped. 10:45:12.319780 1830 - Component type RSL_Emulation.RSL_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.319839 1830 - Final verdict of PTC: none 10:45:12.320024 1830 - Disconnected from MC. 10:45:12.320106 1830 - TTCN-3 Parallel Test Component finished. 10:45:12.320328 1828 - Port MGCP was unmapped from system:MGCP_CODEC_PT. 10:45:12.320384 1828 - Port MGCP was stopped. 10:45:12.320406 1828 - Port MGCP_CLIENT was stopped. 10:45:12.320424 1828 - Port MGCP_CLIENT_MULTI was stopped. 10:45:12.320447 1828 - Port MGCP_PROC was stopped. 10:45:12.320490 1828 - Component type MGCP_Emulation.MGCP_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.320521 1828 - Final verdict of PTC: none 10:45:12.320636 1828 - Disconnected from MC. 10:45:12.320670 1828 - TTCN-3 Parallel Test Component finished. 10:45:12.320854 1829 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:45:12.321030 1829 - Port IPA_PORT was stopped. 10:45:12.321159 1829 - Port CFG_PORT was stopped. 10:45:12.321184 1829 - Port MTP3_SP_PORT was stopped. 10:45:12.321202 1829 - Port IPA_MGCP_PORT was stopped. 10:45:12.321221 1829 - Removing unterminated connection between port IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT. 10:45:12.321317 1829 - Port IPA_RSL_PORT was stopped. 10:45:12.321337 1829 - Port IPA_OML_PORT was stopped. 10:45:12.321355 1829 - Port IPA_CTRL_PORT was stopped. 10:45:12.321372 1829 - Port IPA_SP_PORT was stopped. 10:45:12.321390 1829 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.321425 1829 - Final verdict of PTC: none 10:45:12.321579 1829 - Disconnected from MC. 10:45:12.321618 1829 - TTCN-3 Parallel Test Component finished. 10:45:12.321680 mtc BSC_Tests.ttcn:10961 Setting final verdict of the test case. 10:45:12.321799 mtc BSC_Tests.ttcn:10961 Local verdict of MTC: pass 10:45:12.321814 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-STATS(1822): none (pass -> pass) 10:45:12.321829 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-SCCP(1823): none (pass -> pass) 10:45:12.321843 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-RAN(1824): none (pass -> pass) 10:45:12.321857 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-IPA(1825): none (pass -> pass) 10:45:12.321870 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-IPA-WAIT(1826): pass (pass -> pass) 10:45:12.321883 mtc BSC_Tests.ttcn:10961 Local verdict of PTC IPA-CTRL-CLI-IPA(1827): none (pass -> pass) 10:45:12.321897 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMGW-MGCP-0(1828): none (pass -> pass) 10:45:12.321913 mtc BSC_Tests.ttcn:10961 Local verdict of PTC IPA-BTS0-TRX0-RSL-IPA(1829): none (pass -> pass) 10:45:12.321927 mtc BSC_Tests.ttcn:10961 Local verdict of PTC IPA-BTS0-TRX0-RSL-RSL(1830): none (pass -> pass) 10:45:12.321945 mtc BSC_Tests.ttcn:10961 Local verdict of PTC TC_no_msc(1831): pass (pass -> pass) 10:45:12.321963 mtc BSC_Tests.ttcn:10961 Test case TC_no_msc finished. Verdict: pass 10:45:12.321984 mtc BSC_Tests.ttcn:10961 Starting external command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_no_msc pass'. 10:45:17.470412 mtc BSC_Tests.ttcn:10961 External command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_no_msc pass' was executed successfully (exit status: 0). 10:45:17.470506 mtc BSC_Tests.ttcn:11038 Switching to log file `BSC_Tests-TC_refuse_chan_act_to_vamos-a8ecc5cf65cb-mtc.log'